代码搜索结果
找到约 5,134 项符合
USART 的代码
usart.vhd
-- TOP MODULE :USART:
library ieee;
use ieee.std_logic_1164.all;
use work.components.all;
entity usart is
port( dmp_pin : inout std_logic_vector(7 downto 0);
reset_pin : in std_l
usart.h
volatile recive_buf[5];
volatile recive_length=0;
/*************************************
功能:初始化串口0
参数:无
返回值:无
编写人:王志辉
时间:2007年6月4日
*************************************/
void USART0_int(void)
at91sam9260_usart.h
/* linux/include/asm-arm/arch-at91sam9260/at91sam9260_usart.h
*
* Hardware definition for the usart peripheral in the ATMEL at91sam9260 processor
*
* Generated 10/04/2005 (15:18:12) AT91 SW
includes.h
#if !defined(INCLUDES_H)
#define INCLUDES_H
#define ENABLE_BIT_DEFINITIONS
#include
#include "ds1302.h"
#include "hd44780.h"
#include "delay.h"
#include "usart.h"
#endif
usart.htm
Static Call Graph - [.\obj\USART.axf]
Static Call Graph for image .\obj\USART.axf
usart.uv2
### uVision2 Project, (C) Keil Software
### Do not modify !
Target (USART), 0x0004 // Tools: 'ARM-ADS'
Group (Start Up)
Group (Source Code)
Group (library)
Group (Document)
File 1,2,
readme.txt
1设计要求
对开发板上STM32处理器的RTC模块进行操作。RTC模块的当前时间通过串口传送给PC机的超级终端显示,
若RTC模块还未设置时间则通过超级终端进行设置。RTC秒中断每发生一次,发光二极管LED1闪烁一次。
2 硬件电路设计
在开发板上STM32F10x处理器的VBAT引脚接+3V钮扣电池,PC6引脚接LED1,晶振、<mark>USART</mark>等均已连接。
该应用实例不需要额外电路设计, ...
877a.txt
//★★★★★★★★★PIC单片机<mark>USART</mark>的应用(串口通信)★★★★★★★★★★★\\
//单征机型号:PIC16F877A
//功能描述:PIC单片机<mark>USART</mark>的应用,串口调试助手发送数据给877,877收到后再转发回串口助手
//通过“串口调试助手”协助工作
#include //包含单片机内部资源预定义
__CONFIG(0xf7 ...
usart_main.c
/***********************************************
**** AVR USART串口使用范例 ***
**** ***
**** 作者: HJJourAVR ***
**** 编译器
uart.plg
礦ision2 Build Log
Project:
F:\MCU-STI51\MY_STI_MCU\homework\usart\My_uarta2\uart.uv2
Project File Date: 12/06/2008
Output: