代码搜索结果

找到约 21,386 项符合 Switch 的代码

multi switch.lnp

"Multi Switch.obj" TO "Multi Switch"

multi switch.uv2

### uVision2 Project, (C) Keil Software ### Do not modify ! Target (Target 1), 0x0000 // Tools: 'MCS-51' Group (Source Group 1) File 1,2, 0x0 Opti

multi switch.plg

礦ision3 Build Log Project: E:\Program Files\Labcenter Electronics\Proteus 6 Demonstration(2)\17 multi Switch\Multi Switch.uv2 Project File Date: 07/24/2006

multi switch.lst

A51 MACRO ASSEMBLER MULTI_SWITCH 07/24/2006 08:19:33 PAGE 1 MACRO ASSEMBLER A51 V8.00 OBJECT MODULE PLACED IN Multi Switch.OBJ ASSEM

switch.plg

礦ision2 Build Log Project: D:\Pont\Ch04_08 - Switch\Switch.uv2 Project File Date: 12/10/2004 Output: Build target 'Target 1' compiling Switch

switch.ats

Section Main var @myvar as atsString @myvar = "red" switch @MyVar Case "red" msgbox "red" Case "green" msgbox "blue" Case Else MsgBox "other" end switch End Section

switch.h

/* switch.h * Definitions needed for implementing context switching. * * Context switching is inherently machine dependent, since * the registers to be saved, how to set up an initial * call fram

switch.s

/* switch.s * Machine dependent context switch routines. DO NOT MODIFY THESE! * * Context switching is inherently machine dependent, since * the registers to be saved, how to set up an initi

switch.vhd

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity switch is Port ( vgadd : in std_logic_vector(14 downto 0); conva

14 switch lamp.lnp

"main.obj" TO "14 Switch Lamp"