代码搜索结果
找到约 21,386 项符合
Switch 的代码
switch.curry
void foo()
{
int a;
int b;
switch(a)
{
case 2:{a=3;break;}
default:return;
}
}
switch.cpp
// switch.cpp -- use the switch statement
#include
using namespace std;
void showmenu(); // function prototypes
void report();
void comfort();
int main()
{
showmenu();
in
switch.cpp
// switch.cpp -- use the switch statement
#include
using namespace std;
void showmenu(); // function prototypes
void report();
void comfort();
int main()
{
showmenu();
in
switch.lst
C51 COMPILER V6.12 SWITCH 06/08/2007 12:52:27 PAGE 1
C51 COMPILER V6.12, COMPILATION OF MODULE SWITCH
OBJECT MODULE PLACED IN
switch.s
/*
* switch.S
*
* Copyright (C) 2006 Insigme Co., Ltd
*
* Authors:
* - Limin Jin
*
* This software has been developed while working on the Linux Unified Kernel
* project (http://linux.insigm
switch.cpp
// switch box routing
#include
#include "stack.h"
bool CheckBox(int net[], int n)
{// Determine whether the switch box is routable.
Stack *s = new Stack (n);
/
switch.out
Type number of pins in switch box
Type net numbers for pins 1 through 8
Switch box is routable
switch.c
/* Demonstrates the switch statement. */
#include
int main( void )
{
int reply;
puts("Enter a number between 1 and 5:");
scanf("%d", &reply);
switch (reply)
switch.vhd
-- 库声明
library IEEE;
use IEEE.STD_LOGIC_1164.all;
-- 实体声明
entity switch is
port (
din1 : in STD_LOGIC;
din2 : in STD_LOGIC;
sel : in STD_LOGIC;
dout : out STD_LO
switch.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity switch is
port
( ch :in std_logic;
i1 :in std_logic;
i2 :in std_logic;
o1 :out std_logic;