代码搜索结果

找到约 102,371 项符合 State Machine 的代码

莫尔型状态机2.txt

-- Moore State Machine with Concurrent Output Logic -- dowload from: www.fpga.com.cn & www.pld.com.cn library ieee; use ieee.std_logic_1164.all; entity moore1 is port( clk, rst:

莫尔型状态机1.txt

-- Moore State Machine with explicit state encoding -- dowload from: www.fpga.com.cn & www.pld.com.cn library ieee; use ieee.std_logic_1164.all; entity moore2 is port( clk, rst:

lr0.c

/* Generate the nondeterministic finite state machine for Bison. Copyright (C) 1984, 1986, 1989, 2000, 2001, 2002, 2004 Free Software Foundation, Inc. This file is part of Bison, the GNU Co

带同步复位的状态机.txt

-- State Machine with Asynchronous Reset library ieee; use ieee.std_logic_1164.all; entity stmch1 is port(clk, in1, rst: in std_logic; out1: out std_logic); end stmch1; architecture be

dhcpcstate1.c

/* dhcpcState1.c - DHCP client runtime state machine (lease acquisition) */ /* Copyright 1984 - 2002 Wind River Systems, Inc. */ #include "copyright_wrs.h" /* modification history ------------------

dhcpcstate2.c

/* dhcpcState2.c - DHCP client runtime state machine (lease maintenance) */ /* Copyright 1984 - 2002 Wind River Systems, Inc. */ #include "copyright_wrs.h" /* modification history ------------------

dhcpcboot.c

/* dhcpcBoot.c - DHCP client finite state machine definition (boot time) */ /* Copyright 1984 - 2002 Wind River Systems, Inc. */ #include "copyright_wrs.h" /* modification history ------------------

fsm.c

/* fsm.c - {Link, IP} Control Protocol Finite State Machine */ /* Copyright 1995 Wind River Systems, Inc. */ #include "copyright_wrs.h" /* * Copyright (c) 1989 Carnegie Mellon University. * All ri

net_1c6_911.smp_dump.txt

State Machine - |net_1c6_911|nios_c6:inst|sdram_0:the_sdram_0|i_state Name i_state.101 i_state.111 i_state.001 i_state.011 i_state.010 i_state.000 i_state.000 0 0 0 0 0 0 i_state.010 0 0 0 0 1

ps2tolcd.smp_dump.txt

State Machine - |ps2tolcd|ps2_keyboard_interface:inst3|m1_state Name m1_state.m1_tx_done_recovery m1_state.m1_tx_reset_timer m1_state.m1_rx_falling_edge_marker m1_state.m1_rx_clk_l m1_state.m1_rx_r