代码搜索结果
找到约 102,371 项符合
State Machine 的代码
使用變量的狀態機.txt
-- State Machine using Variable
-- dowload from: www.fpga.com.cn & www.pld.com.cn
library ieee;
use ieee.std_logic_1164.all;
ENTITY fsm2 IS
PORT(clock,x : IN BIT; z : OUT BIT);
END fsm
帶莫爾_米勒輸出的狀態機.txt
-- State Machine with Moore and Mealy outputs
-- dowload from: www.fpga.com.cn & www.pld.com.cn
library ieee;
use ieee.std_logic_1164.all;
entity mealy1 is port(
clk, rst: in
莫爾形狀態機1.txt
-- Moore State Machine with explicit state encoding
-- dowload from: www.fpga.com.cn & www.pld.com.cn
library ieee;
use ieee.std_logic_1164.all;
entity moore2 is port(
clk, rst:
米勒形狀態機.txt
-- Mealy State Machine with Registered Outputs
-- dowload from: www.fpga.com.cn & www.pld.com.cn
library ieee;
use ieee.std_logic_1164.all;
entity mealy1 is port(
clk, rst: in
i2c_eeprom.smp_dump.txt
State Machine - |I2c_eeprom|i2c_top:inst|cs
Name cs.SHOW cs.RD_ACK cs.RD_BYTE cs.DELAY cs.WR_ACK cs.WR_BYTE cs.IDLE
cs.IDLE 0 0 0 0 0 0 0
cs.RD_ACK 0 1 0 0 0 0 1
cs.RD_BYTE 0 0 1 0 0 0 1
cs
nasm.c
/*****************************************************************************
*
* Microchip DeviceNet Stack
* (Network Access State Machine management source code)
*
**********************
qhsm.c
/********************************************************************
* Quantum Hierarchical State Machine declarations (C version)
* Copyright (c) 2002 Miro Samek, Palo Alto, CA.
* All Rights
qhsmtran.c
/********************************************************************
* Quantum Hierarchical State Machine dynamic transition definition
* Copyright (c) 2002 Miro Samek, Palo Alto, CA.
* All R
qhsm.h
/********************************************************************
* Quantum Hierarchical State Machine declarations ("C+" version)
* Copyright (c) 2002 Miro Samek, Palo Alto, CA.
* All Righ
米勒型状态机.vhd
--Mealy State Machine with Registered Outputs
library ieee;
use ieee.std_logic_1164.all;
entity mealy1 is port(
clk, rst: in std_logic;
id: in std_logic_vector(3 down