代码搜索结果
找到约 1,533 项符合
Speaker 的代码
clock_top.vhd
library ieee;
use ieee.std_logic_1164.all;
entity clock_top is
port ( clk,reset,setmin,sethour,clkdsp:in std_logic;
speaker: out std_logic;
lamp: out std_logic_vector(2 downto 0);
sel: out
speaker.c.bak
#include "DSP281x_Device.h" // DSP281x Headerfile Include File
#include "DSP281x_Examples.h" // DSP281x Examples Include File
// Prototype statements for functions found within this file
adzq.asm
; “验证式” 实验六 电子琴
OUTBIT equ 0e101h
IN equ 0e103h
Pulse equ 0
PulseCNT equ 50h
ToneHigh equ 51h
ToneLow equ 52h
Speaker equ 0B0H
ljmp Start
org
simple_piano.bas
'Program: Simple_piano.bas
'Description: Use Pushbutton unit and speaker unit to build a simple piano
' which plays "Do" note when Button 0 is pressed. Similarly for
' other
song.v
module song(clk_6MHz,clk_4Hz,speaker,high,med,low);
input clk_6MHz, clk_4Hz;
output speaker;
output[3:0] high,med,low;
reg[3:0] high,med,low;
reg[13:0] divider,origin;
reg[7:0] counter;
reg spe
_pace.ucf
NET "sysclk" LOC = "p32";
NET "nreset_3828" LOC = "p100";
NET "nreset_cpu" LOC = "p69";
NET "test_led" LOC = "p54";
NET "speaker" LOC = "p56";
NET "cpu_nwait" LOC = "p60";
NET "c
electric.lst
C51 COMPILER V7.06 ELECTRIC 05/18/2009 02:01:18 PAGE 1
C51 COMPILER V7.06, COMPILATION OF MODULE ELECTRIC
OBJECT MODULE PLACED I
song.v
module song(clk_6MHz,clk_4Hz,speaker,high,med,low);
input clk_6MHz, clk_4Hz;
output speaker;
output[3:0] high,med,low;
reg[3:0] high,med,low;
reg[13:0] divider,origin;
reg[7:0] counter;
reg spe