代码搜索结果
找到约 1,533 项符合
Speaker 的代码
speaker.vhd
library ieee;
use ieee.std_logic_1164.all;
entity speaker is
port(
clk : in std_logic;
tone : in integer range 0 to 16#7ff#; --"2047";
spks : out std_logic);
end speaker;
speaker.vhd
library ieee;
use ieee.std_logic_1164.all;
entity speaker is
port(clk1:in std_logic;
tone1:in integer range 0 to 16#7ff#;
spks:out std_logic);
end;
architecture one of speaker i
speaker.rpt
Project Information e:\0306407yyw\yinyue\speaker.rpt
MAX+plus II Compiler Report File
Version 10.2 07/10/2002
Compiled: 12/11/2008 16:48:12
Copyright (C) 1988-2002 Al
speaker.vhd
library ieee;
use ieee.std_logic_1164.all;
entity speaker is
port(
clk : in std_logic;
tone : in integer range 0 to 16#7ff#; --"2047";
spks : out std_logic);
end speaker;
speaker.vhd
library ieee;
use ieee.std_logic_1164.all;
entity speaker is
port(
clk : in std_logic;
tone : in integer range 0 to 16#7ff#; --"2047";
spks : out std_logic);
end speaker;
configurewhereisit.m
function configurewhereisit(fo)
%CONFIGUREWHEREISIT Configuration for WHEREISIT.
% CONFIGUREWHEREISIT will display a series of dialogs which will prompt
% you to place a speaker at a specified loc
potfiles.in
aplay/aplay.c
seq/aconnect/aconnect.c
seq/aseqnet/aseqnet.c
speaker-test/speaker-test.c
makefile.am
INCLUDES = -I$(top_srcdir)/include
SUBDIRS= samples
LDADD = $(LIBINTL) -lm
bin_PROGRAMS = speaker-test
speaker_test_SOURCES = speaker-test.c pink.c
man_MANS = speaker-test.1
EXTRA_DIST = readme.txt s
readme.txt
To make or build just type
make
To test: -
1) Just stereo sound from one stereo jack: -
./speaker-test -Dplug:front -c2
2) A 4 speaker setup from two stereo jacks: -
./speaker-test -Dplug:surround40
speaker-test.c
/*
* Copyright (C) 2000-2004 James Courtier-Dutton
* Copyright (C) 2005 Nathan Hurst
*
* This file is part of the speaker-test tool.
*
* This small program sends a simple sinusoidal wave to your