代码搜索结果
找到约 1,533 项符合
Speaker 的代码
speaker.hif
HIF003
--
-- Copyright (C) 1988-2000 Altera Corporation
-- Any megafunction design, and related net list (encrypted or decrypted),
-- support information, device programming or simulation file, an
spkctrl.ndb
NDB006
The number of symbol table entries is: 2
The length of the symbol table is: 25
Index Hierarchy Path
----- --------------
! &null_hpath&
. |SPEAKER:3|
The number of name info structs
lib.dls
DLSL
1
SPEAKER.VHDLVIEW U4667682.DLS
SPEAKER-FUNC1.SYNTHESISVIEW U6117160.DLS
SPEAKER-FUNC1.VHDLVIEW U6172977.DLS
spkctrl.rpt
Project Information d:\fpga\sample\speaker\spkctrl.rpt
MAX+plus II Compiler Report File
Version 10.0 9/14/2000
Compiled: 12/16/2003 11:57:23
Copyright (C) 1988-2000 Alt
speaker.vhd
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY Speaker IS
PORT ( clk1 : IN STD_LOGIC;
Tone1 : IN INTEGER RANGE 0 TO 16#7FF#;
SpkS : OUT STD_LOGIC );
END;
A
beeps.c
#include
#include
void main (int argc, char *argv[])
{
int count; // The number of times to sound the speaker
int i; // The number of times the speaker has bee
beeps.c
#include
#include
void main (int argc, char *argv[])
{
int count; // The number of times to sound the speaker
int i; // The number of times the speaker has bee
lib.dls
DLSL
1
NOTETABS.VHDLVIEW U9038850.DLS
NOTETABS-BEHAV.SYNTHESISVIEW U8868518.DLS
NOTETABS-BEHAV.VHDLVIEW U6997962.DLS
SPEAKER.VHDLVIEW U4667682.DLS
SPEAKER-BEHAV.SYNTHESISVIEW U2090196.DLS
SPEAK
speaker.vhd
library ieee;
use ieee.std_logic_1164.all;
entity speaker is
port(
clk : in std_logic;
tone : in integer range 0 to 16#7ff#; --"2047";
spks : out std_logic);
end speaker;
lib.dls
DLSL
1
KB2PC1.VHDLVIEW U8475994.DLS
KB2PC1-ONE.SYNTHESISVIEW U9768876.DLS
KB2PC1-ONE.VHDLVIEW U7792009.DLS
SPEAKER.VHDLVIEW U4667682.DLS
SPEAKER-BEHAV.SYNTHESISVIEW U2090196.DLS
SPEAKER-BEHAV.V