代码搜索结果

找到约 12,685 项符合 Sound 的代码

sound.h

/* +++Date last modified: 05-Jul-1997 */ /* ** SOUND.H ** ** Original Copyright 1988-1991 by Bob Stout as part of ** the MicroFirm Function Library (MFL) ** ** The user is granted a free

史上最全声卡术语揭秘_direct sound 3d,eax,a3d,h3d,sensaura/q3d,ias,hrtf_音频_中关村在线.url

[DEFAULT] BASEURL=http://sound.zol.com.cn/23/232516.html [InternetShortcut] URL=http://sound.zol.com.cn/23/232516.html Modified=104331E20830C80167 IconFile=http://sound.zol.com.cn/favicon.ico Ic

sound.rc

Sound1 WAV Sound.wav

sound.vhd

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sound is port(clk1khz,clk1hz,clk500hz: in std_logic; imq,imd,isq,isd:in std_logic_vector(3 downto 0);

sound.java

package src.Rule; import java.io.File; import java.applet.*; import java.net.*; /** * 声音类 */ public class Sound { static File f; static AudioClip clip = null; public static

sound.h

#ifndef Sound_h #define Sound_h #pragma interface #include #include "others/checkable.h" class Sound : public Checkable // Bridge to modules/sound_interface { friend class TrainEars

sound.java

import java.awt.*; import java.applet.*; import java.net.*; import javax.swing.*; import java.lang.*; class Sound{ URL url; AudioClip music; Sound(String u){ try{ url=new URL("f

sound.dp2

sound.o: D:/ICC-AVR/include/io8515.h D:/ICC-AVR/include/macros.h

sound.dp2

sound.o: D:/ICC-AVR/include/io8535.h D:/ICC-AVR/include/macros.h