代码搜索结果
找到约 143,016 项符合
Remote Control 的代码
run proc ts control.vhd
---------------------------------------------------
-- Module Run Processor TS Control
-- Feburary 21, 1999
-- "Copyright (c) 2001 Altium Limited"
-------------------------------------------------
remote.c
/*
* taken from gdb/remote.c
*
* I am only interested in the write to memory stuff - everything else
* has been ripped out
*
* all the copyright notices etc have been left in
*/
/* enough so t
remote.c
//#include "main.h"
//#include "remote.h"
//#include "key.h"
#include "include.h"
const uint PULSE_SHORT_MIN=PULSE_SHORT_MIN_TIME/8/4; //1。8ms
const uint PULSE_SHORT_MAX=PULSE_SHORT_MAX_TIME/8
remote.h
#ifndef _REMOTE_H
#define _REMOTE_H
/* Remote reset/restart server definitions */
extern char *Rempass;
/* Commands */
#define SYS_RESET 1
#define SYS_EXIT 2
#define KICK_ME 3
#endif
control.xnf
LCANET,5
PROG, synthx:pre5.0.0y:94/04/21, synthx:pre5.0.0y:94/04/21, "Created from control.bl1 on 1994/05/01 00:11:05"
PROG,IMPROVEX,pre5.0.0y:, created from model xnf\control.xnf
PWR, 1, VCC
PWR,
control.xnf
LCANET,5
PROG, synthx:pre5.0.0y:94/04/21, synthx:pre5.0.0y:94/04/21, "Created from control.bl1 on 1994/05/01 23:24:21"
PROG,IMPROVEX,pre5.0.0y:, created from model xnf\control.xnf
PWR, 1, VCC
PWR,
control.xnf
LCANET,5
PROG, synthx:pre5.0.0y:94/04/21, synthx:pre5.0.0y:94/04/21, "Created from control.bl1 on 1994/05/01 23:28:43"
PROG,IMPROVEX,pre5.0.0y:, created from model xnf\control.xnf
PWR, 1, VCC
PWR,
remote.h
#ifndef _REMOTE_H
#define _REMOTE_H
/* Remote reset/restart server definitions */
extern char *Rempass;
/* Commands */
#define SYS_RESET 1
#define SYS_EXIT 2
#define KICK_ME 3
#endif
control.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use work.mydefine.all;
entity control is
port(c_en:in std_logic;
input:in std_logic_vector(7 downto 0);
clk:in std_
control.xnf
LCANET,5
PROG, synthx:pre5.0.0y:94/04/21, synthx:pre5.0.0y:94/04/21, "Created from control.bl1 on 1994/05/01 00:11:05"
PROG,IMPROVEX,pre5.0.0y:, created from model xnf\control.xnf
PWR, 1, VCC
PWR,