代码搜索结果
找到约 143,016 项符合
Remote Control 的代码
control.dni
[State Storage]
Control Register=53004
[Sequencer]
Control Register=53004
NextState0=53004
NextState1=53004
[Action Register]
Break=1
State Storage=1
[DisAssemblyWindow]
NumStates=_ 1
State
control.eww
$WS_DIR$\control.ewp
control.vhd
-- MAX+plus II VHDL Template
-- Clearable flipflop with enable
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY contrOl IS
PORT
(
clk : IN STD_LO
control.rpt
Project Information d:\frudh\control.rpt
MAX+plus II Compiler Report File
Version 10.0 9/14/2000
Compiled: 06/08/2008 20:24:25
Copyright (C) 1988-2000 Alt
remote.plg
礦ision2 Build Log
Project:
E:\ELITE-III单片机开发板资料\实验例程\遥控\remote.uv2
Project File Date: 12/21/2006
Output:
Build target 'Target 1'
assembling S
control.vhd
library ieee;
use ieee.std_logic_1164.all;
use work.state_pack.all;
entity control is
port(A,B,C,D,E,F,G,CLK : in std_logic;
H,I,J,K,L,M : out std_logic);
end co
remote.lnp
"STARTUP.obj",
"remote.obj"
TO "remote"
RAMSIZE(256)
remote.plg
Build target 'Target 1'
assembling STARTUP.A51...
compiling remote.c...
linking...
Program Size: data=231.0 xdata=0 code=640
creating hex file from "remote"...
"remote" - 0 Error(s), 0 Warning(s).
Bui
remote.uv2
### uVision2 Project, (C) Keil Software
### Do not modify !
Target (Target 1), 0x0000 // Tools: 'MCS-51'
Group (Source Group 1)
File 1,2,
File 1,1,
remote.def
//-------------------------------------------------------------------------------
// Here is definitions of remote codes for MIS NEC80 Remote
//------------------------------------------------------