代码搜索结果

找到约 143,016 项符合 Remote Control 的代码

app-control.pbt

Save Format v3.0(19990112) appname "app-control"; applib "app-control.pbl"; liblist "app-control.pbl"; type "pb";

app-control.pbw

Save Format v3.0(19990112) @begin Unchecked @end; @begin Targets 0 "app-control.pbt"; @end; DefaultTarget "app-control.pbt"; DefaultRemoteTarget "app-control.pbt";

app-control-beautiful.pbt

Save Format v3.0(19990112) appname "app-control-beautiful"; applib "app-control-beautiful.pbl"; liblist "app-control-beautiful.pbl"; type "pb";

app-control-beautiful.pbw

Save Format v3.0(19990112) @begin Unchecked @end; @begin Targets 0 "app-control-beautiful.pbt"; @end; DefaultTarget "app-control-beautiful.pbt"; DefaultRemoteTarget "app-control-beautiful.pbt"

app-control-tab.pbw

Save Format v3.0(19990112) @begin Unchecked @end; @begin Targets 0 "app-control-tab.pbt"; @end; DefaultTarget "app-control-tab.pbt"; DefaultRemoteTarget "app-control-tab.pbt";

app-control-bar.pbt

Save Format v3.0(19990112) appname "app-control-bar"; applib "app-control-bar.pbl"; liblist "app-control-bar.pbl"; type "pb";

control.vhd

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity control is port(clk:in std_logic; dataout:out std_logic_vector(31 down

key-control-pwm-led.uv2

### uVision2 Project, (C) Keil Software ### Do not modify ! Target (Target 1), 0x0000 // Tools: 'MCS-51' Group (Source Group 1) File 1,1, 0x0

key-control-pwm-led.lnp

"KEY-CONTROL-PWM-LED.obj" TO "KEY-CONTROL-PWM-LED"

key-control-pwm-led.plg

礦ision2 Build Log Project: F:\ME500单片机开发系统\基础练习\PWM\PWM 控制 LED 灯渐亮渐灭程序\C51\KEY-CONTROL-PWM-LED.uv2 Project File Date: 11/20/2006 Output: Build