代码搜索结果
找到约 143,016 项符合
Remote Control 的代码
哪里有script control.txt
在 专 业 版 和 企 业 版 都 有 Script Control。 不 过 需 要 手 工 安 装 , 在 光 盘 的 Common\Tools\VB\Script目 录 下 。 也 可 以 从 微 软 站 点 下 载 :
http://www.microsoft.com/scripting/scriptcontrol/default.htm
control.h
/**
* \file control.h
* \author Wei Yongming
* \date 2001/12/29
*
* This file includes interfaces of standard controls of MiniGUI.
*
\verbatim
Copyright (C) 1998-2002 W
control.h
/**
* \file control.h
* \author Wei Yongming
* \date 2001/12/29
*
* This file includes interfaces of standard controls of MiniGUI.
*
\verbatim
Copyright (C) 1998-2002 W
remote.java
/*
* @(#)Remote.java 1.12 01/12/03
*
* Copyright 2002 Sun Microsystems, Inc. All rights reserved.
* SUN PROPRIETARY/CONFIDENTIAL. Use is subject to license terms.
*/
package java.rmi;
/**
* T
control.vhd
---------------------------------------------------------
-- JAM CPU
-- Control unit
--
-- License: LGPL v2+ (see the file LICENSE)
-- Copyright
65. f28xx aci3_4:sensor-less direct flux vector control of .txt
This system framework contains sensor-less direct field oriented control of 3-phase induction motor. The motor speed is controlled by using estimated speed as the speed feedback from the speed estimat
60. f28xx digital motor control library.txt
This library contains the Digital Motor Control software modules. These component modules, implemented in IQ Math, are used to construct the systems such as Sensored/Sensorless Control. Download this
control.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity control is
port
(
clk1024,clk500,sa,sb,sc,en: in std_logic;
q1 : in std_logic_vector(7 downto 0);
q2
control.rpt
Project Information f:\jlh\cotrol\control.rpt
MAX+plus II Compiler Report File
Version 10.0 9/14/2000
Compiled: 01/22/2005 22:19:12
Copyright (C) 1988-2000 Alt
remote.lnp
"STARTUP.obj",
"remote.obj"
TO "remote"