代码搜索结果

找到约 143,016 项符合 Remote Control 的代码

remote.5

.\" SCCSID: @(#)remote.5 8.1 9/11/90 .\" Last modified 11/8/85 by CCB. Note the UNIX's here .\" must stay -- they are in the code. .TH remote 5 .SH Name remote \- remote host description file .SH De

control.vhd

LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY control IS PORT(reset:IN std_logic; clk:IN std_logic; cnt_en:OUT std_logic; clr_cnt:OUT

control.rpt

Project Information e:\s6\control.rpt MAX+plus II Compiler Report File Version 10.2 07/10/2002 Compiled: 12/24/2007 09:55:03 Copyright (C) 1988-2002 Al

control.vhd

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CONTROL IS PORT(CLKH,CLKM,JS,QS,QM:IN STD_LOGIC;-------手动较小时,分钟,计/较选择,秒进位,分进位 ENH,ENM,CPH,CPM:OUT STD_LO

control.vhd.bak

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CONTROL IS PORT(CLKH,CLKM,JS,QS,QM:IN STD_LOGIC;-------手动较小时,分钟,计/较选择,秒进位,分进位 ENH,ENM,CPH,CPM:OUT STD_LO

control.vhd

library ieee; use ieee.std_logic_1164.all; entity control is port(sysreset :in std_logic; reset0 :in std_logic; on_off0 :in std_logic; clk0 :in std_logic; enable :out std_logic );

control.rpt

Project Information d:\work\max+plus_work\stopwatch\control.rpt MAX+plus II Compiler Report File Version 9.23 3/19/99 Compiled: 02/20/2009 22:25:32 Copyright (C) 1988-1999 Alter

control.java

package com.javapatterns.command.television; public class Control { /** * @directed */ private Command onCommand, offCommand, changeChannel; public Control(Command o

control.vhd

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity control is Port ( movclk : in std_logic; reset : in std_logic;

control.htm

control.htm 文件的代码如下: