代码搜索结果
找到约 12,595 项符合
PWM 的代码
pwm.lk
pwm.o
pwm调光.plg
礦ision2 Build Log
Project:
D:\桌面\FLY-51S 程序\15-PWM调光\PWM调光.uv2
Project File Date: 05/14/2010
Output:
pwm调光.c
/*-----------------------------------------------
名称:PWM调光
论坛:www.doflye.net
编写:shifang
日期:2009.5
修改:无
内容:通过PWM(脉宽调制)调节LED的亮度
------------------------------------------------*/
#in
pwm调光.uv2
### uVision2 Project, (C) Keil Software
### Do not modify !
Target (Target 1), 0x0000 // Tools: 'MCS-51'
Group (Source Group 1)
File 1,1, 0x0
Options 1,0,0 // Targ
two pwm out 16f84.txt
;**********************************************************************
;
; PWM-C.asm
; 06/14/2001
; 1.00
;
;歌林电子制作实验室www.nbglin.com
;*************************************************
pwm.vhd
----------------------------------------------------------------------------------
-- Company: han'slaser
-- Engineer: Zhouj110624
-- Create Date: 11:39:44 09/24/2012
-- Design Name: pwm
pwm.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity pwm is
port(ctrl:in std_logic_vector(3 downto 0); ----PWM控制信号
clk:in std_logic; ------------1
pwm.c
#include "pwm.h"
#include "led.h"
void PWM_Init(u16 arr,u16 psc)
{
GPIO_InitTypeDef GPIO_InitStructure;
TIM_TimeBaseInitTypeDef TIM_TimeBaseStructure;
TIM_OCInitTypeDef TIM_OCInitStruct
pwm.d
pwm.o: ..\HARDWARE\PWM\pwm.c
pwm.o: ..\HARDWARE\PWM\pwm.h
pwm.o: ..\SYSTEM\sys\sys.h
pwm.o: ..\USER\stm32f10x.h
pwm.o: ..\CORE\core_cm3.h
pwm.o: d:\Keil\ARM\RV31\INC\stdint.h
pwm.o: ..\USER\syst
pwm.uv2
### uVision2 Project, (C) Keil Software
### Do not modify !
Target (Target 1), 0x0000 // Tools: 'MCS-51'
Group (Source Group 1)
File 1,2, 0x0
Options 1,0,0 // Targ