代码搜索结果

找到约 12,595 项符合 PWM 的代码

pwm.lst

C51 COMPILER V7.02b PWM 12/10/2005 20:24:09 PAGE 1 C51 COMPILER V7.02b, COMPILATION OF MODULE PWM OBJECT MODULE PLACED IN PW

pwm.h

//[PWM.h]脉宽调制头文件----------------------------------------------------- //脉宽调制需要用到的头文件 #include //MCU映像寄存器名 #include "Type.h" //脉宽调制相关函数声明 //PWM初始化函数声明 void PWMInit(INT8U

pwm.c

//[PWM.c]脉宽调制----------------------------------------------------------* //本文件包含: * // (1)PWMInit:PWM初始化

pwm_16.c

/****************************************************************** 本程序为PCA16位PWM输出例程,jp2第2脚为PWM输出 ******************************************************************/ #include

pwm_16.lst

C51 COMPILER V7.50 PWM_16 10/23/2006 11:05:11 PAGE 1 C51 COMPILER V7.50, COMPILATION OF MODULE PWM_16 OBJECT MODULE PLACED IN p

pwm.vhd

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity pwm is port(ctrl:in std_logic_vector(3 downto 0); ----PWM控制信号 clk:in std_logic; ------------1

pwm.pjt

; Code Composer Project File, Version 2.0 (do not modify or remove this line) [Project Settings] ProjectDir="E:\MYDSP\DSK2407\c\PWM\" ProjectType=Executable CPUFamily=TMS320C24XX Tool="Compiler

pwm.pjt

; Code Composer Project File, Version 2.0 (do not modify or remove this line) [Project Settings] ProjectDir="E:\MYDSP\DSK2407\c\PWM\" ProjectType=Executable CPUFamily=TMS320C24XX Tool="Compiler

pwm.txt

/* =======直流电机的PWM速度控制程序======== */ /* 纬东工作室 robots51.51.net 2006年6月 */ /* 晶振采用11.0592M,产生的PWM的频率约为91Hz */ #include #include #define uchar unsigned char #define uint uns

pwm.lst

C51 COMPILER V7.06 PWM 11/28/2008 09:29:06 PAGE 1 C51 COMPILER V7.06, COMPILATION OF MODULE PWM OBJECT MODULE PLACED IN PWM