代码搜索结果

找到约 16,374 项符合 Op-Amp 的代码

readme

amp MPEG audio decoder readme file (version 0.7.5) (C) Tomislav Uzelac 1996,1997 amp is free software and can be _used_ freely by anyone. If you want to do anything else with it, be sure to check th

amp.h

/* this file is a part of amp software, (C) tomislav uzelac 1996,1997 */ /* these should not be touched */ #define SYNCWORD 0xfff #define TRUE 1 #define FALSE 0 /* version */ #defin

jukebox.txt

Sajber Jukebox support Starting with this version, you can use "amp" as a replacement to the default player in the sajber jukebox. In order to do this, simply delete the "sajberplay" program

makefile.in

# Makefile - amp audio mpeg player v0.7 # tomislav uzelac 1996,1997 #DEBUG = -DDEBUG # ---- @OS_TYPE@/@ARCH_TYPE@ ---- CC = @CC@ DEFINES = @DEFINES@ CFLAGS = @CFLAGS@ ${DEFINES} ${DEBUG} LDFLA

jm99a1.m

%99年中国大学生数学建模竞赛a题:自动化车床管理模型一 %参见《数学的实践与认识》2000.1.p36-40 clear;opt=inf; for n=[10 20 30] for m=[200 300 400] [x0,op]=fmins('jm99afun',[n m]); if op(8)

jm99a.m

function [x,opt]=jm99a(n,m) %99年中国大学生数学建模竞赛a题:自动化车床问 %参见《数学的实践与认识》2000.1.p36-40 opt=inf; for n=10:5:30 for m=300:10:400 [x0,op]=fmins('jm99afun',[n m]); if op(8)

新建 文本文档.txt

书中例子,与大家分享。 本电路为基于AT89C52的温度控制系统。 控制系统采用模糊控制器实现。 采用PT100铂电阻温度传感器测量温度。 铂电阻温度传感器的调理电路以子电路 的形式给出FRONT-AMP。 其中引脚P3.4用于输出加热器控制信号, 引脚P3.5用于输出风扇控制信号。 DISPLAY1用于显示设定温度值; DISPLAY2用于显示实测温度值; 按键功能如下: ...

energytest.m

clear; runtime=1; %snr=[0.5,0.75,1.0,1.25,1.5]; %runtime=[100,100,100,200,1000]; q=4; BITN=1024; N=q*BITN; EbNo=2; %dB rate=1/q; amp=1/sqrt(10.^(EbNo/10)*2*rate*2); %The Last *2 is due to

bidircon.vhd

library IEEE; use IEEE.std_logic_1164.all; entity BIDIR is port ( ip: in std_logic; oe: in std_logic; op_fb: out std_logic; op: inout std_logic ); end BIDIR; architecture rtl of