代码搜索结果
找到约 79,096 项符合
Memory 的代码
memory.pjt
; Code Composer Project File, Version 2.0 (do not modify or remove this line)
[Project Settings]
ProjectDir="D:\ti\myprojects\ICETEK-F2407\Lab3-Memory\"
ProjectType=Executable
CPUFamily=TMS320C2
memory.cmd_log
xst -intstyle ise -ifn __projnav/memory.xst -ofn memory.syr
ngdbuild -intstyle ise -dd e:\资料\计算机设计与实践\mycpu16/_ngo -i -p xcv200-pq240-4 memory.ngc memory.ngd
map -intstyle ise -p xcv200-pq240-4 -cm
memory.vhdl
library ieee;
library UNISIM;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use UNISIM.VComponents.all;
entity memory is
port(
T3: in std_logic
memory.prj
vhdl work memory.vhdl
memory.xst
set -tmpdir __projnav
set -xsthdpdir ./xst
run
-ifn memory.prj
-ifmt mixed
-ofn memory
-ofmt NGC
-p xcv200-4-pq240
-top memory
-opt_mode Speed
-opt_level 1
-iuc NO
-lso memory.lso
-keep_h
memory.bgn
Release 6.2i - Bitgen G.28
Copyright (c) 1995-2004 Xilinx, Inc. All rights reserved.
Loading device database for application Bitgen from file "memory.ncd".
"memory" is an NCD, version 2.38, devic
memory.bld
Release 6.2i - ngdbuild G.28
Copyright (c) 1995-2004 Xilinx, Inc. All rights reserved.
Command Line: ngdbuild -intstyle ise -dd e:\资料\计算机设计与实践\mycpu16/_ngo
-i -p xcv200-pq240-4 memory.ngc memory.ng
memory.par
Release 6.2i Par G.28
Copyright (c) 1995-2004 Xilinx, Inc. All rights reserved.
song:: Sun Nov 11 22:54:39 2007
C:/Xilinx/bin/nt/par.exe -w -intstyle ise -ol std -t 1 memory_map.ncd
memory.ncd m
memory.cmd
-w
-stack 400h
-heap 400h
-l rts30.lib
MEMORY
{
RAM : o = 800000h, l = 8000h
RAM1: o = 809800h, l = 800h
SRAM1: o = 40000h, l = 3efffh
SRAM:o = 400000h, l = 10000h
}
SECTI
memory.h
/* @(#) memory.h 1.3 1/27/86 17:46:50 */
/*ident "@(#)cfront:incl/memory.h 1.3"*/
extern char
*memccpy(char*, const char*, int, int),
*memchr(const char*, int, int),
*memcpy(char*, const char*, int