代码搜索结果
找到约 43,496 项符合
MCU 的代码
sram_control.txt
2007.7.* (1)将DATA信号直接导出 延长数据有效时间 增加命中率
(2)精简开关动作
(3)减计数改为加计数
2007.7.8 (1)将busy接入信号独立,通过将mcu写和ad写组合后可公用出写动作
液晶.cfg
*** TKStudio Project Config (C)ZLG-MCU By YinHandong[尹寒冬]
*** Do not modify !
TARGOPT 1,(目标 1)
OPTFFF {3,3,-1,-1,0,0,837,406,46,444}
OPTFFF {1,1,-1,-1,22,22,879,421,24,
说明.txt
本程序为一语音LCD GAME,mcu 为台湾CHIP MAST 公司生产研发,希望能对做语音LCD GAME 的同道有帮助。
uartmodule.h
/**************************
name:uartmodule.h
author:RPJ
version:V0.1
description:
由于键盘MCU采用了1分3的异步串口,同时
波特率较高BPS1 = BPS2*3,所以在使用uart口
的时候必须要注意接收到的数据的处理存放。
交换MCU属于分口,采用定时来接收和发送数据。
录音MCU B
mcu.rpt
Project Information e:\mydesign\altera\maxplus\lishanew\lisha\mcu.rpt
MAX+plus II Compiler Report File
Version 10.2 07/10/2002
Compiled: 05/14/2005 22:51:24
Copyright (C) 1988-2002 Al
mcu.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mcu is
port(clkf,clkp:in std_logic;
dd:in std_logic_vector(7 downto 0);
clr_in2:out std_logic;
makefile
# Simple Makefile
# Volker Oth (c) 1999
########### change this lines according to your project ##################
#put the name of the target mcu here (at90s8515, at90s8535, attiny22, atmega
makefile
# Simple Makefile
# Volker Oth (c) 1999
########### change this lines according to your project ##################
#put the name of the target mcu here (at90s8515, at90s8535, attiny22, atmega
makefile
# Simple Makefile
# Volker Oth (c) 1999
########### change this lines according to your project ##################
#put the name of the target mcu here (at90s8515, at90s8535, attiny22, atmega
makefile
# Simple Makefile
# Volker Oth (c) 1999
########### change this lines according to your project ##################
#put the name of the target mcu here (at90s8515, at90s8535, attiny22, atmega