代码搜索结果

找到约 43,496 项符合 MCU 的代码

mcu.h

/*H************************************************************************** * $RCSfile: mcu.h,v $ *---------------------------------------------------------------------------- * Copyright (c) 200

mcu.lst

C51 COMPILER V7.50 MCU 11/27/2008 11:07:28 PAGE 1 C51 COMPILER V7.50, COMPILATION OF MODULE MCU OBJECT MODULE PLACED IN mcu

mcu.c

#include #include #include //#include"FM25H20.c" #include"mcu.h" #include BYTE address,test_byte; //sbit LED=P3^3; //sbit P03=P0^3

mcu.rpt

Project Information e:\mydesign\altera\maxplus\lishanew\lisha\mcu.rpt MAX+plus II Compiler Report File Version 10.2 07/10/2002 Compiled: 05/14/2005 22:51:24 Copyright (C) 1988-2002 Al

mcu.vhd

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mcu is port(clkf,clkp:in std_logic; dd:in std_logic_vector(7 downto 0); clr_in2:out std_logic;

80515.mcu

; 80515 processor definition file ; =============================== P0 DATA 080H SP DATA 081H DPL DATA 082H DPH DATA 083H PCON DATA 087H TCON DATA 088H TMOD DATA 089H TL0 DATA 08AH TL1

89c1051.mcu

; 89C1051 processor definition file ; ================================= SP DATA 081H DPL DATA 082H DPH DATA 083H PCON DATA 087H TCON DATA 088H TMOD DATA 089H TL0 DATA 08AH TL1 DATA 08BH

83c562.mcu

; 83C562 processor definition file ; ================================ P0 DATA 080H SP DATA 081H DPL DATA 082H DPH DATA 083H PCON DATA 087H TCON DATA 088H TMOD DATA 089H TL0 DATA 08AH T

80c515.mcu

; 80C515 processor definition file ; ================================ P0 DATA 080H SP DATA 081H DPL DATA 082H DPH DATA 083H PCON DATA 087H TCON DATA 088H TMOD DATA 089H TL0 DATA 08AH T

83c552.mcu

; 83C552 processor definition file ; ================================ P0 DATA 080H SP DATA 081H DPL DATA 082H DPH DATA 083H PCON DATA 087H TCON DATA 088H TMOD DATA 089H TL0 DATA 08AH T