代码搜索结果

找到约 1,930 项符合 Keypad 的代码

decoder.vhd

library ieee; use ieee.std_logic_1164.all; use work.p_alarm.all; entity decoder is port(keypad: in std_logic_vector(9 downto 0); value: out t_digital); end decoder; architecture art of dec

alarm_clock.hier_info

|ALARM_CLOCK keypad[0] => decoder:u1.keypad[0] keypad[1] => decoder:u1.keypad[1] keypad[2] => decoder:u1.keypad[2] keypad[3] => decoder:u1.keypad[3] keypad[4] => decoder:u1.keypad[4] keypad[5] =

decoder.vhd.bak

library ieee; use ieee.std_logic_1164.all; use work.p_alarm.all; entity decoder is port(keypad: in std_logic_vector(9 downto 0); value: out t_digital); end decoder; architecture art of dec

julia.java

package com.javapatterns.command.audioplayer2; /** * This is the Client role */ public class Julia { /** * @link aggregation */ private static Keypad keypad ; /

keypad.java

package com.javapatterns.command.audioplayer2; /** * This is the Invoker role */ public class Keypad { /** * @link aggregation */ private Command playCmd; /**

julia.java

package com.javapatterns.command.audioplayer; /** * This is the Client role */ public class Julia { /** * @link aggregation */ private static Keypad keypad ; /*

keypad.java

package com.javapatterns.command.audioplayer; /** * This is the Invoker role */ public class Keypad { /** * @link aggregation */ private Command playCmd; /**

keypad.lst

C51 COMPILER V7.06 KEYPAD 12/28/2004 10:14:05 PAGE 1 C51 COMPILER V7.06, COMPILATION OF MODULE KEYPAD OBJECT MODULE PLACED IN

tw101_demo.plg

Build target 'Target 1' compiling Filter.c... compiling IrInt.c... compiling KEYPAD.C... KEYPAD.C(217): warning C206: 'OSDShowRatio': missing function-prototype compiling main.c... compiling TWO

keypad.h

//--------------------------------------------------------------------------- // Terawins Inc. Company Confidential Strictly Private // // $Archive: Keypad.h $ // $Revision: 1.01 $ // $Author: jw