代码搜索结果

找到约 1,930 项符合 Keypad 的代码

calc.lnp

"calc.obj", "keypad.obj", "lcd.obj" TO "calc"

calc_uv2.bak

### uVision2 Project, (C) Keil Software ### Do not modify ! Target (Target 1), 0x0000 // Tools: 'MCS-51' Group (Source Group 1) File 1,1, File 1,2,

keypad.asm

/******************************************************************************* ************ LABCENTER ELECTRONICS ************ ****

decoder.hier_info

|decoder keypad[0] => Equal0.IN8 keypad[0] => Equal1.IN8 keypad[0] => Equal2.IN8 keypad[0] => Equal3.IN8 keypad[0] => Equal4.IN8 keypad[0] => Equal5.IN8 keypad[0] => Equal6.IN8 keypad[0] => Eq

decoder.vhd

library ieee; use ieee.std_logic_1164.all; use work.p_alarm.all; entity decoder is port( keypad : in std_logic_vector(9 downto 0); value : out t_digital); end entity; architecture beh

alarm_clock.hier_info

|alarm_clock keypad[0] => decoder:u1.keypad[0] keypad[1] => decoder:u1.keypad[1] keypad[2] => decoder:u1.keypad[2] keypad[3] => decoder:u1.keypad[3] keypad[4] => decoder:u1.keypad[4] keypad[5] =

keypad.lst

C51 COMPILER V7.06 KEYPAD 06/19/2006 11:59:53 PAGE 1 C51 COMPILER V7.06, COMPILATION OF MODULE KEYPAD OBJECT MODULE PLACED IN

keypad.c

//--------------------------------------------------------------------------- // Terawins Inc. Company Confidential Strictly Private // // $Archive: Keypad.c $ // $Revision: 0.01 $ // $Author: jw

keypad.h

//--------------------------------------------------------------------------- // Terawins Inc. Company Confidential Strictly Private // // $Archive: Keypad.h $ // $Revision: 1.01 $ // $Author: jw

keypad.cpp.bak

/* * Copyright (C) 2003 , Motorola Inc. All Rights Reserved * * File: drivers/keypad/Keypad.cpp * Purpose: Hardware dependent IOControl routines for the Keypad Driver * * Notes: * *