代码搜索结果
找到约 6,434 项符合
FPGA 的代码
ad-based on fpga.txt
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity dac_ds is
port(reset :in std_logic;
clk :in std_logic;
din :in std
vsall.vhd
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--将送入FPGA的信号进行整形;
entity vsall is
Port (clk : in std_logic; --10Hz系统扫描频率;
wed.zsf
D:/整理资料/fpga/分频器1/db/div2.sim.vwf 0 64000000 390 64000000 0
D:/整理资料/fpga/分频器1/div2.vwf 0 1000000 20 1000 0
div2.vwf 0 0 0 0 0
D:/整理资料/fpga/分频器的设计/分频器1/db/div2.sim.vwf 46366409 77616409 553 31250000
wed.zsf
D:/整理资料/fpga/分频器/db/clkdiv.sim.vwf 6368812 11642352 390 5273540 0
D:/整理资料/fpga/分频器/clkdiv.vwf 102713432 106619682 597 3906250 0
clkdiv.vwf 0 0 0 0 0
wed.zsf
D:/整理资料/fpga/分频器/db/clkdiv.sim.vwf 6368812 11642352 390 5273540 0
D:/整理资料/fpga/分频器/clkdiv.vwf 102713432 106619682 597 3906250 0
clkdiv.vwf 0 1000000000 20 1000 0
C:/desk/f/db/clkdiv.sim.vwf 0 14827