代码搜索结果

找到约 57,564 项符合 Display 的代码

display.plg

礦ision3 Build Log Project: D:\My Documents\我的单片机实验\21.拉幕式数码显示技术\Keil-C\display.uv2 Project File Date: 08/15/2007 Output: Build target 'Target

display.vhd

library ieee; use ieee.std_logic_1164.all; entity DISPLAY is port(d:in std_logic_vector(3 downto 0);----连接seltime扫描部分d信号 q:out std_logic_vector(6 downto 0));----输出段选信号(电平) end DISPLAY;

display.vhd

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY display IS PORT(SCLK: IN STD_LOGIC; --scan fre

display.lst

1 .file "display.c" 2 .arch atmega8 3 __SREG__ = 0x3f 4 __SP_H__ = 0x3e 5 __SP_L__ = 0x3d 6

display.m

%DISPLAY Display dataset information

display.m

%DISPLAY Display datafile information

display.m

%DISPLAY Display mapping information

display.c

#include "AT91RM9200.h" #include "display.h" //use NCS2 //Crt:640*480 LCD:800*480 void Display_Init(char displaysel) { //Init EBI Reg AT91C_BASE_SMC2->SMC2_CSR[2] = (AT9

display.m

function display(X) %display Overloaded % Author Johan L鰂berg % $Id: display.m,v 1.2 2007/02/02 09:31:24 joloef Exp $ P = X.P; classification = 'Logdet-term '; [n,m] = size(P);