代码搜索结果

找到约 57,564 项符合 Display 的代码

display.lnp

"STARTUP.obj", "display.obj" TO "display"

display.__i

"display.c" BROWSE DEBUG OBJECTEXTEND

display.plg

礦ision3 Build Log Project: D:\My Documents\我的单片机实验\21.拉幕式数码显示技术\Keil-C\display.uv2 Project File Date: 08/15/2007 Output: Build target 'Target

display.vhd

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity display is port( sclk: in std_logic; reset: in std_logic;

display.vhd

library ieee; use ieee.std_logic_1164.all; entity DISPLAY is port(d:in std_logic_vector(3 downto 0); q:out std_logic_vector(6 downto 0)); end DISPLAY; architecture disp_are of DISPLAY

display.vhd

library ieee; use ieee.std_logic_1164.all; entity DISPLAY is port(d:in std_logic_vector(3 downto 0);----连接seltime扫描部分d信号 q:out std_logic_vector(6 downto 0));----输出段选信号(电平) end DISPLAY;

display.m51

BL51 BANKED LINKER/LOCATER V2.01 07/30/03 15:40:24 PAGE 1 MS-DOS BL51 BANKED LINKER/LOCATER V2.01, INVOKED BY: C:\C51EVAL\BIN\BL51.EXE C:\C51EVAL\MCAIPRO\4BITPLAY\DISPLAY.OBJ TO

display.lst

A51 MACRO ASSEMBLER DISPLAY 30/07/03 15:40:24 PAGE 1 DOS MACRO ASSEMBLER A51 X4.86 OBJECT MODULE PLACED IN DISPLAY.OBJ ASSEM

display.m

function display(q,ki,kj) %QUEUE\DISPLAY command window display of a queue object. % 调用格式 % display(q) 笼统显示整个队列 % display(q,ki) 单下标法显示具体队列元素的内容 % display(q,ki,kj) 双下标法显示具体队列元素的内容 if nargin==

display.h

#ifndef _DISP_H #define _DISP_H extern void Display(unsigned char dat); #endif