代码搜索结果

找到约 57,564 项符合 Display 的代码

display.h

/********************************************************************* Rhapsody : 7.0 Login : zhanxis Component : Test Configuration : Release Model Element : Display //! Generated Date

display.cpp

/******************************************************************** Rhapsody : 7.0 Login : zhanxis Component : Test Configuration : Release Model Element : Display //! Generated Date

display.vhd

library ieee; use ieee.std_logic_1164.all; entity DISPLAY is port(d:in std_logic_vector(3 downto 0); q:out std_logic_vector(6 downto 0)); end DISPLAY; architecture disp_are of DISPLAY

display.vhd

library ieee; use ieee.std_logic_1164.all; entity DISPLAY is port(d:in std_logic_vector(3 downto 0);----连接seltime扫描部分d信号 q:out std_logic_vector(6 downto 0));----输出段选信号(电平) end DISPLAY;

display.h

// Display.h : main header file for the DISPLAY application // #if !defined(AFX_DISPLAY_H__B6C6D415_CBC3_11D1_B719_0080AD17AF01__INCLUDED_) #define AFX_DISPLAY_H__B6C6D415_CBC3_11D1_B719_0080AD17

display.rc2

// // DISPLAY.RC2 - resources Microsoft Visual C++ does not edit directly // #ifdef APSTUDIO_INVOKED #error this file is not editable by Microsoft Visual C++ #endif //APSTUDIO_INVOKED ///

display.plg

Build Log --------------------Configuration: Display - Win32 Release-------------------- Command Lines Creating temporary file "C:\WINDOWS\TEMP

display.dsp

# Microsoft Developer Studio Project File - Name="Display" - Package Owner= # Microsoft Developer Studio Generated Build File, Format Version 60000 # ** DO NOT EDIT ** # TARGTYPE "Win32 (x86)

display.clw

; CLW file contains information for the MFC ClassWizard [General Info] Version=1 LastClass=CAboutDlg LastTemplate=CDialog NewFileInclude1=#include "stdafx.h" NewFileInclude2=#include "Display.

led display.uv2

### uVision2 Project, (C) Keil Software ### Do not modify ! Target (Target 1), 0x0000 // Tools: 'MCS-51' Group (Source Group 1) File 1,2, 0x0 Option