代码搜索结果

找到约 129,825 项符合 Control 的代码

control.ide_des

KEY IDE_DES_TOOL "Ide" KEY IDE_DES_FAMILY "Fusion" KEY IDE_DES_DIE "IR6X6M2" KEY IDE_DES_PACKAGE "fg256" KEY IDE_DES_TOP_CELL_NAME "control" KEY IDE_DES_KEEP_PHY_CONSTR "FALSE" KEY IDE_DES_KEEP_

chipcon remote control.cpp

#include "stdafx.h" #include "Chipcon Remote Control.h" #include "WinampGen.h" #include "WinampIpc.h" static const GUID WINAMP_REMOTE_GUID = { 0xEFA3F566, 0x6E8C, 0x4CC2, { 0x93, 0xFB, 0x31, 0x3

chipcon remote control.cpp

#include "stdafx.h" #include "Chipcon Remote Control.h" #include "WinampGen.h" #include "WinampIpc.h" static const GUID WINAMP_REMOTE_GUID = { 0xEFA3F566, 0x6E8C, 0x4CC2, { 0x93, 0xFB, 0x31, 0x3

chipcon remote control.cpp

#include "stdafx.h" #include "Chipcon Remote Control.h" #include "WinampGen.h" #include "WinampIpc.h" static const GUID WINAMP_REMOTE_GUID = { 0xEFA3F566, 0x6E8C, 0x4CC2, { 0x93, 0xFB, 0x31, 0x3

control.vhd

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use work.mydefine.all; entity control is port(c_en:in std_logic; input:in std_logic_vector(7 downto 0); clk:in std_

control.java

/* * @(#)Control.java 1.7 02/07/24 @(#) * * Copyright (c) 2002 Sun Microsystems, Inc. All rights reserved. * PROPRIETARY/CONFIDENTIAL * Use is subject to license terms. */ package javax.microe

control.properties

#Control #Tue Feb 08 12:26:16 CST 2005 MOV_UP=0x26 MOV_DOWN=0x28 MOV_LEFT=0x25 MOV_RIGHT=0x27 FIRE_KEY=0x20 FIRE_MOUSE=1

control.properties

#Control #Tue Feb 08 12:26:16 CST 2005 MOV_UP=0x26 MOV_DOWN=0x28 MOV_LEFT=0x25 MOV_RIGHT=0x27 FIRE_KEY=0x20 FIRE_MOUSE=1

control.java

package english; import java.awt.*; import javax.swing.*; import java.awt.Dimension; import com.borland.jbcl.layout.BoxLayout2; public class Control extends JPanel { JSlider jSlider1 = n

control.java~1~

package english; import java.awt.*; import javax.swing.*; public class Control extends JPanel { BorderLayout borderLayout1 = new BorderLayout(); public Control() { try {