代码搜索结果

找到约 129,825 项符合 Control 的代码

control.vhd

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CONTROL IS PORT(CLKH,CLKM,JS,QS,QM:IN STD_LOGIC;-------手动较小时,分钟,计/较选择,秒进位,分进位 ENH,ENM,CPH,CPM:OUT STD_LO

control.vhd.bak

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CONTROL IS PORT(CLKH,CLKM,JS,QS,QM:IN STD_LOGIC;-------手动较小时,分钟,计/较选择,秒进位,分进位 ENH,ENM,CPH,CPM:OUT STD_LO

control.vhd

library ieee; use ieee.std_logic_1164.all; entity control is port(sysreset :in std_logic; reset0 :in std_logic; on_off0 :in std_logic; clk0 :in std_logic; enable :out std_logic );

control.rpt

Project Information d:\work\max+plus_work\stopwatch\control.rpt MAX+plus II Compiler Report File Version 9.23 3/19/99 Compiled: 02/20/2009 22:25:32 Copyright (C) 1988-1999 Alter

control.java

package com.javapatterns.command.television; public class Control { /** * @directed */ private Command onCommand, offCommand, changeChannel; public Control(Command o

control.vhd

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity control is Port ( movclk : in std_logic; reset : in std_logic;

control.htm

control.htm 文件的代码如下:

control.m

function y=control(n) a=20; if n==0 y=a+1; elseif n==1 y=a*(1+n); elseif n==2 y=a+n; else y=a; end

control.m

function y=control(n) a=20; if n==0 y=a+1; elseif n==1 y=a*(1+n); elseif n==2 y=a+n; else y=a; end

series system control.m

%Series System Control clear all; close all; ts=2; sys1=tf(1,[10,1]); dsys1=c2d(sys1,ts,'z'); [num1,den1]=tfdata(dsys1,'v'); sys2=tf(1,[10,1]); dsys2=c2d(sys2,ts,'z'); [num2,den2]=tfdata(