代码搜索结果

找到约 129,825 项符合 Control 的代码

control.m

function theResult = control(self, theStyle, ... theNormalizedPosition, thePixelOffset, ... varargin) % ps/control -- Create UIControl for "ps". % control(self, 'theStyle', theNormalized

control.quartus

FILES { VQM_FILE = control.vqm; } COMPILER_SETTINGS_LIST { COMPILER_SETTINGS = control; } SIMULATOR_SETTINGS_LIST { SIMULATOR_SETTINGS = control; } SOFTWARE_SETTINGS_LIST { SOFTWARE_

control.h

/** * \file control.h * \author Wei Yongming * \date 2001/12/29 * * This file includes interfaces of standard controls of MiniGUI. * \verbatim Copyright (C) 1998-2002 W

control.java

package com.javapatterns.command.television; public class Control { /** * @directed */ private Command onCommand, offCommand, changeChannel; public Control(Command o

control.v

module control(clk1,cnt_for_mux,cnt,clk_488,rst,frame_clk); output clk1; //as the clk of mux and demux output [4:0] cnt_for_mux; //use cnt_for_mux to control the mux,demux output [2:0] cnt

control.vhd

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity control is port( clk:in std_logic; clr:in std_logic; kin:in std_logic; catch_o:out std_logic_vecto

control.vhd

library ieee; use ieee.std_logic_1164.all; entity control is port(clkin: in std_logic; ena_cnt: out std_logic; clr_cnt: out std_logic; load: out std_logic); end entity; architecture

control.lst

C51 COMPILER V7.02b CONTROL 01/18/2005 19:37:32 PAGE 1 C51 COMPILER V7.02b, COMPILATION OF MODULE CONTROL OBJECT MODULE PLACED I

control.tabs.js

/** * @author Ryan Johnson * @copyright 2007 LivePipe LLC * @package Control.Tabs * @license MIT * @url http://livepipe.net/projects/control_tabs/ * @version 2.0.0 */ if(ty

control.modal.js

/** * @author Ryan Johnson * @copyright 2007 LivePipe LLC * @package Control.Modal * @license MIT * @url http://livepipe.net/projects/control_modal/ * @version 2.0.0 */ if(