代码搜索结果

找到约 129,825 项符合 Control 的代码

60. f28xx digital motor control library.txt

This library contains the Digital Motor Control software modules. These component modules, implemented in IQ Math, are used to construct the systems such as Sensored/Sensorless Control. Download this

control.vhd

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity control is port ( clk1024,clk500,sa,sb,sc,en: in std_logic; q1 : in std_logic_vector(7 downto 0); q2

control.rpt

Project Information f:\jlh\cotrol\control.rpt MAX+plus II Compiler Report File Version 10.0 9/14/2000 Compiled: 01/22/2005 22:19:12 Copyright (C) 1988-2000 Alt

control.h

// file control.h //created by alpha 2008.11.3 #ifndef CONTROL_H #define CONTROL_H extern int controlInsert; extern int controlKeepDot; void controlArgs (int argc, char *argv[]); #endif

qeb.control.csproj.filelist.txt

obj\Debug\ResolveAssemblyReference.cache obj\Debug\Qeb.Control.FBase.resources obj\Debug\Qeb.Control.Properties.Resources.resources obj\Debug\Qeb.Control.QButton.resources obj\Debug\Qeb.Control.QT

control.h

#ifndef Control_H #define Control_H //------------------ C API for Control routine --------------------- typedef struct { short qVelRef; // Reference velocity short qVdRef;

control.o.d

control.o: control.c \ C:/WinAVR/bin/../lib/gcc/avr/3.4.3/../../../../avr/include/avr/io.h \ C:/WinAVR/bin/../lib/gcc/avr/3.4.3/../../../../avr/include/avr/sfr_defs.h \ C:/WinAVR/bin/../lib/g

control.lst

C51 COMPILER V8.05a CONTROL 10/11/2008 10:55:51 PAGE 1 C51 COMPILER V8.05a, COMPILATION OF MODULE CONTROL OBJECT MODULE PLACED I

control.html

internet radio control Radio Control

control.mac

; -------------------------------------------------------------------- ; 流程控制宏指令库 - CONTROL.MAC ; -------------------------------------------------------------------- ; 给DS赋值 ; 调用:LOADDS 数据段名 L