代码搜索结果

找到约 43,238 项符合 Clock 的代码

clock.fit.summary

Fitter Status : Successful - Sat Dec 08 19:12:06 2007 Quartus II Version : 5.1 Build 216 03/06/2006 SP 2 SJ Full Version Revision Name : clock Top-level Entity Name : clock Family : ACEX1K Device

clock.flow.rpt

Flow report for clock Sat Dec 08 19:12:14 2007 Version 5.1 Build 216 03/06/2006 Service Pack 2 SJ Full Version --------------------- ; Table of Contents ; --------------------- 1. Legal No

clock.map.summary

Analysis & Synthesis Status : Successful - Sat Dec 08 19:11:58 2007 Quartus II Version : 5.1 Build 216 03/06/2006 SP 2 SJ Full Version Revision Name : clock Top-level Entity Name : clock Family :

clock.rc2

// // CLOCK.RC2 - resources Microsoft Visual C++ does not edit directly // #ifdef APSTUDIO_INVOKED #error this file is not editable by Microsoft Visual C++ #endif //APSTUDIO_INVOKED /////

clock.plg

Build Log --------------------Configuration: Clock - Win32 Debug-------------------- Command Lines Creating command line "rc.exe /l 0x804 /fo"D

clock.dsp

# Microsoft Developer Studio Project File - Name="Clock" - Package Owner= # Microsoft Developer Studio Generated Build File, Format Version 6.00 # ** DO NOT EDIT ** # TARGTYPE "Win32 (x86) App

clock.vhd

library ieee; use ieee.std_logic_1164.all; entity clock is port(opt :in std_logic_vector(1 downto 0); --select the one which is wanted to be changed chg :in std_logic; --use for set time

clock.lst

A51 MACRO ASSEMBLER CLOCK 03/18/2008 23:27:53 PAGE 1 MACRO ASSEMBLER A51 V7.07 OBJECT MODULE PLACED IN clock.OBJ ASSEMBLER IN

clock.plg

礦ision2 Build Log Project: D:\项目开发\AT89S51单片机基础学习开发板\实验板程序\电子时钟\带一闹钟电子时钟\clock.uv2 Project File Date: 03/17/2008 Output: Build target 'Target