代码搜索结果

找到约 5,955 项符合 Circuit 的代码

smeq0plt.m

%plot smshort clc,close all figure('Position',[50 65 950 400],'Name','Short-circuit transients',... 'NumberTitle','off'); subplot(1,2,1),plot(t,del,'-b');title('Delta versus time');xlabel

sigckt.m

%menu for signals and circuits clear,close all k=menu('SELECT DEMO:',... 'Transients in an RLC series circuit',... 'PID controller design using Ziegler-Ni

addr_select.log

Starting EDIF2BLIF.... readEDIF ended normally. Inspect circuit ADDR_SELECT Number of input ports : 1 Number of output ports : 1 Number of bidir ports : 0 Number of instan

高增益音频放大电路.ewb

Electronics Workbench Circuit File Version: 5 Charset: ANSI Description: "Ultra-High Gain Audio Amplifier" "------------------------------------------------------------------------" "Source:

driver.log

Starting EDIF2BLIF.... EDIF2BLIF: Warning: Net GND is floating and will be removed. EDIF2BLIF: Warning: Net VCC is floating and will be removed. readEDIF ended normally. Inspect circuit DRIV

counter2.log

Starting EDIF2BLIF.... EDIF2BLIF: Warning: Net GND is floating and will be removed. EDIF2BLIF: Warning: Net VCC is floating and will be removed. readEDIF ended normally. Inspect circuit COUN

ddoor.log

Starting EDIF2BLIF.... EDIF2BLIF: Warning: Net GND is floating and will be removed. EDIF2BLIF: Warning: Net VCC is floating and will be removed. readEDIF ended normally. Inspect circuit DDOO

counter2plus.log

Starting EDIF2BLIF.... EDIF2BLIF: Warning: Net GND is floating and will be removed. EDIF2BLIF: Warning: Net VCC is floating and will be removed. readEDIF ended normally. Inspect circuit COUN

copyright.txt

The VHDL Reference: A Practical Guide to Computer-Aided Integrated Circuit Design including VHDL-AMS Copyright

negative.vhd

--negative.vhd correct negative number circuit library ieee ; use ieee.std_logic_1164.all; use work.components.all; entity negative is port( a : in std_logic_vector(11 downto 0);--块