代码搜索结果
找到约 5,955 项符合
Circuit 的代码
im_data.m
%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
%
% im_data.m - contains induction motor equivalent circuit
% parameter values to be read by calling program.
%
%%%
circuit.java
/*
* Circuit.java
*
* Created on 2006年4月14日, 下午3:02
*
* To change this template, choose Tools | Options and locate the template under
* the Source Creation and Management node. Right-click the t
set3a.m
% Machine parameter input file SET3A.M
% Machine data for 2x3 equivalent circuit model with two
% nonzero coupling inductances in the d-axis rotor circuits
% Machine data for 2x3 equivalent ci
m1.m
% Matlab M-file for Exercise 1 of text.
% It plots the Simulation results of the vco circuit
% in Fig. 2.4 of the text. Labels on the traces can be
% applied using Matlab gtext.
plot(yout(:,1
negative.vhd
--negative.vhd correct negative number circuit
library ieee ;
use ieee.std_logic_1164.all;
use work.components.all;
entity negative is
port(
a : in std_logic_vector(11 downto 0);--块
negative.vhd
--negative.vhd correct negative number circuit
library ieee ;
use ieee.std_logic_1164.all;
use work.components.all;
entity negative is
port(
a : in std_logic_vector(11 downto 0);--块
circuit2.clp
;;;======================================================
;;; Example Circuit #2
;;;
;;; An example circuit to be loaded for use with
;;; the "electronic.clp" example program. This
;;;
circuit1.clp
;;;======================================================
;;; Example Circuit #1
;;;
;;; An example circuit to be loaded for use with
;;; the "electronic.clp" example program. Note
;;;
例9-12 .m
I = imread('circuit.tif');
I2 = imcrop(I,[75 68 90 112]);
imview(I)
imview(I2)
circuit2.clp
;;;======================================================
;;; Example Circuit #2
;;;
;;; An example circuit to be loaded for use with
;;; the "electronic.clp" example program. This
;;;