代码搜索结果
找到约 5,955 项符合
Circuit 的代码
circuit.eps
%!PS-Adobe-2.0 EPSF-2.0
%%Title: circuit.fig
%%Creator: fig2dev Version 3.2 Patchlevel 4
%%CreationDate: Fri Jul 14 21:26:47 2006
%%For: root@dutigm.st.ewi.tudelft.nl (root)
%%BoundingBox: 0 0 478 110
ctr.qarlog
Quartus II Archive log -- F:/work/project/circuit/FPGA/ctr/ctr.qarlog
Archive: F:/work/project/circuit/FPGA/ctr/ctr.qar
Date: Thu Sep 18 15:13:57 2008
Quartus II 7.2 Build 175 11/20/2007 SP 1 S
negative.vhd
--negative.vhd correct negative number circuit
library ieee ;
use ieee.std_logic_1164.all;
use work.components.all;
entity negative is
port(
a : in std_logic_vector(11 downto 0);--块
ford.txt
Ford data ObD2
P1000 OBD II Monitor Testing Not Complete
P1001 Key On Engine Running Self-Test Not Completed - Test Aborted
P1100 Mass Airflow Sensor Circuit Intermittent
P1101 Ma
nissan.txt
Infiniti-Nissan data ObD2
P1105 Manifold Absolute Pressure/Barometric Pressure Switch Solenoid Circuit
P1110 Intake Valve Timing Control Circuit (Bank 1)
P1120 Secondary Throttle Posi
audi.txt
Audi data ObD2
P1102 Oxygen Sensor Heating Circuit Bank 1-Sensor 1 Short to B+
P1105 Oxygen Sensor Heating Circuit Bank 1-Sensor 2 Short to B+
P1107 Oxygen Sensor Heating Circuit Bank 2
infiniti.txt
Infiniti-Nissan data ObD2
P1105 Manifold Absolute Pressure/Barometric Pressure Switch Solenoid Circuit
P1110 Intake Valve Timing Control Circuit (Bank 1)
P1120 Secondary Throttle Posi
colt-summit.txt
Colt-Summit data ObD2
P1300 Ignition Timing Adjustment Circuit
P1400 Manifold Differential Pressure Sensor Circuit
P1443 EVAP Purge Control Solenoid '2 Circuit
P1500 Generator 'F
suzuki.txt
Suzuki data ObD2 铃木原厂定义 OBD 2 DTC
P1250 EFE Heater Circuit
P1408 Manifold Differential Pressure Sensor Circuit
P1410 Fuel Tank Pressure Control Solenoid Circuit
P1450 Barometric Pressure
isuzu.txt
Isuzu dataObD2
P1106 Manifold Absolute Pressure Sensor Circuit Intermittent High Input
P1107 Manifold Absolute Pressure Sensor Circuit Intermittent Low Input
P1111 Intake Air Temperatu