代码搜索结果
找到约 5,955 项符合
Circuit 的代码
xspice.deck
A simple XSPICE amplifier circuit
*
* This uses an XSPICE "gain" code model to substitute for
* the transistor amplifier circuit in spice3.deck.
*
.tran 1e-5 2e-3
*
vin 1 0 0.0 ac 1.0 sin(0 1
param_defaults.deck
Parameter defaults
*
* This circuit contains a code model with
* parameters of various types, which are all defaulted,
* and prints the default values.
*
.op
*
r1 1 0 1k
r2 2 0 1k
r3 1 2 1k
parsing.deck
Parsing
*
* This circuit contains a simple gain block to demonstrate
* that the simulator parses the syntax used to reference
* code models.
*
.tran 1e-5 1e-3
*
v1 1 0 0.0 sin(0 1 1k)
r1 1 0
negative.vhd
--negative.vhd correct negative number circuit
library ieee ;
use ieee.std_logic_1164.all;
use work.components.all;
entity negative is
port(
a : in std_logic_vector(11 downto 0);--块
higain.ewb
Electronics Workbench Circuit File
Version: 5
Charset: ANSI
Description:
"Ultra-High Gain Audio Amplifier"
""
"Source: National Semiconductor Linear-Applications-Handbook"
"Vo =
simple_dig_ckt_sizing_vect.m
% Digital circuit sizing using vectorize features
% (a figure is generated if the tradeoff flag is turned on)
%
% This is an simple, ahard-coded example taken directly from:
%
% A Tutorial on Geomet
simple_dig_ckt_sizing.m
% Digital circuit sizing (a simple, hard-coded example).
% (a figure is generated if the tradeoff flag is turned on)
%
% This is an example taken directly from the paper:
%
% A Tutorial on Geometric
c6ex2.m
% MATLAB symbolic toolbox solution for voltage vL2 in
% Example 5-2 after open circuit occurs
%
VL2 = sym('5*s*30*(s+1/3)/(s*(s+1/2))-200');
VL2_P = diff(int(VL2))
vL2 = ilaplace(VL2)
usb v2.0.plg
礦ision2 Build Log
Project:
G:\li\Circuit Design contect\USB\C\MCU\USB V2.0.uv2
Project File Date: 11/20/2005
Output:
c8051f022.plg
礦ision2 Build Log
Project:
G:\li\Circuit Design contect\Automatic Mobile Toy Car\C\C8051F022.uv2
Project File Date: 04/18/2006
Output: