📄 demux_1_to_16.vhd
字号:
------------------------------------------------------------------------------------ Company: -- Engineer: -- -- Create Date: 12:34:11 04/02/2009 -- Design Name: -- Module Name: demux_1_to_16 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: ---- Dependencies: ---- Revision: -- Revision 0.01 - File Created-- Additional Comments: ------------------------------------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity demux_1_to_16 isport( we : in std_logic; sel : in std_logic_vector(4 downto 0); data_en00 : out std_logic; data_en01 : out std_logic; data_en02 : out std_logic; data_en03 : out std_logic; data_en04 : out std_logic; data_en05 : out std_logic; data_en06 : out std_logic; data_en07 : out std_logic; data_en08 : out std_logic; data_en09 : out std_logic; data_en10 : out std_logic; data_en11 : out std_logic; data_en12 : out std_logic; data_en13 : out std_logic; data_en14 : out std_logic; data_en15 : out std_logic);end demux_1_to_16;architecture Behavioral of demux_1_to_16 isbeginprocess(sel, we)
begin case sel is when "00000" => data_en00 <= we; data_en01 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "00001" => data_en01 <= we; data_en00 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "00010" => data_en02 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "00011" => data_en03 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en02 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "00100" => data_en04 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "00101" => data_en05 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "00110" => data_en06 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "00111" => data_en07 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "01000" => data_en08 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "01001" => data_en09 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "01010" => data_en10 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "01011" => data_en11 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "01100" => data_en12 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en13 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "01101" => data_en13 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en14 <= '0'; data_en15 <= '0'; when "01110" => data_en14 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en15 <= '0'; when others => data_en15 <= we; data_en00 <= '0'; data_en01 <= '0'; data_en02 <= '0'; data_en03 <= '0'; data_en04 <= '0'; data_en05 <= '0'; data_en06 <= '0'; data_en07 <= '0'; data_en08 <= '0'; data_en09 <= '0'; data_en10 <= '0'; data_en11 <= '0'; data_en12 <= '0'; data_en13 <= '0'; data_en14 <= '0'; end case; end process;end Behavioral;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -