📄 obufds.v
字号:
// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/unisims/OBUFDS.v,v 1.6 2007/05/23 21:43:40 patrickp Exp $///////////////////////////////////////////////////////////////////////////////// Copyright (c) 1995/2004 Xilinx, Inc.// All Right Reserved.///////////////////////////////////////////////////////////////////////////////// ____ ____// / /\/ /// /___/ \ / Vendor : Xilinx// \ \ \/ Version : 10.1// \ \ Description : Xilinx Functional Simulation Library Component// / / Differential Signaling Output Buffer// /___/ /\ Filename : OBUFDS.v// \ \ / \ Timestamp : Thu Mar 25 16:43:00 PST 2004// \___\/\___\//// Revision:// 03/23/04 - Initial version.// 05/23/07 - Changed timescale to 1 ps / 1 ps.`timescale 1 ps / 1 psmodule OBUFDS (O, OB, I); parameter CAPACITANCE = "DONT_CARE"; parameter IOSTANDARD = "DEFAULT"; output O, OB; input I; tri0 GTS = glbl.GTS; bufif0 B1 (O, I, GTS); notif0 N1 (OB, I, GTS); initial begin case (CAPACITANCE) "LOW", "NORMAL", "DONT_CARE" : ; default : begin $display("Attribute Syntax Error : The attribute CAPACITANCE on OBUFDS instance %m is set to %s. Legal values for this attribute are DONT_CARE, LOW or NORMAL.", CAPACITANCE); $finish; end endcase end endmodule
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -