⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 assc.vhd

📁 Actel Fusion System Management Development Kit UART Example. Contains Libero design using CoreABC. P
💻 VHD
📖 第 1 页 / 共 3 页
字号:
to_unsigned(jphnsqvgftr,10)and to_unsigned((2**i),10))=to_unsigned((2**i),10))
generate dffjbswcksf(i)<=sxqvnxkkjsh(i);end generate;end generate;process(
xdtnvttdgcs,bztdcfmmchj)begin if bztdcfmmchj='0' then zbhmfptrcmn<='0';
gtxqsppgcmn<='0';crgqqkcrfvm<='0';bwngcbddzsh<=(others=>'0');elsif rising_edge(
xdtnvttdgcs)then zbhmfptrcmn<=gtdnggtvcgr;gtxqsppgcmn<=zbhmfptrcmn;
crgqqkcrfvm<=gtxqsppgcmn;if qvkpfznsfjx='1' or skcmqsgbhfk='0' then
bwngcbddzsh<=(others=>'0');elsif crgqqkcrfvm='1' then case dspfgfmqhwh is when
"00011"=>bwngcbddzsh<="00000000001";when "00110"=>bwngcbddzsh<="00000000010";
when "01001"=>bwngcbddzsh<="00000000100";when
"01100"=>bwngcbddzsh<="00000001000";when "01111"=>bwngcbddzsh<="00000010000";
when "10010"=>bwngcbddzsh<="00000100000";when
"10101"=>bwngcbddzsh<="00001000000";when "11000"=>bwngcbddzsh<="00010000000";
when "11011"=>bwngcbddzsh<="00100000000";when
"11110"=>bwngcbddzsh<="01000000000";when "11111"=>bwngcbddzsh<="10000000000";
when others=>bwngcbddzsh<="00000000000";end case;end if;end if;end process;
jxtdgtdrkqt:for i in 0 to 10 generate htnqwqfhtss:if((to_unsigned(csnxdprbsgk,
11)and to_unsigned((2**i),11))=to_unsigned(0,11))generate xtghwvhzbdc(i)<='0';
end generate;crhpsqqkmnz:if((to_unsigned(csnxdprbsgk,11)and to_unsigned((2**i),
11))=to_unsigned((2**i),11))generate xtghwvhzbdc(i)<=bwngcbddzsh(i);end
generate;end generate;jpfggbzcssz<=bnrsdrtmtsg;vswcgkhjqbg<=xhfzbcfrwqc;
xpjccbwgqkw<=qvkpfznsfjx;tbnnndsxsdh<=bcmkdjqtngz;ggqzqbfxcmh<=ssssgmdwsms;
btgwwsmjstm<=bfcqztswjqb;jjwthdwfwkm<=dffjbswcksf;nksfrfkcttc<=xtghwvhzbdc;
jdphvhrphgg:if knrjvwspqzm<6 generate rxmvszjgwzd:for i in knrjvwspqzm+3 to 8
generate cbcscwbfmnc(i)<='0';end generate;end generate;cbcscwbfmnc(
knrjvwspqzm+2 downto 0)<=bnrsdrtmtsg&svpqbbcnvqz;vcvfbbmqhkz<=cbcscwbfmnc;
cndpcdhdzdz<=nsbfthprvtx;qxvnwqhdfph<=dppmsqczgtw;process(svpqbbcnvqz,
nthjkdpsqfq)begin case svpqbbcnvqz is when
hjsnsxjgcdh=>qjvbvnnqdnc<=hbsskxtsqbt&nthjkdpsqfq(11 downto 9);when
others=>qjvbvnnqdnc<=nthjkdpsqfq(8 downto 0);end case;end process;
tkrjwcffspp<=cjhmcbjwdht;fgjjfdkjggf<=dtcvhtqxgdc;dhjxqvknpjm<=jdhgstfqkjm;
rrctqpbbmtj<=pfkhhxxsrzp;mwgjcmtxrrd<=qjswdxfpzkp;xdcczgmbftx<=dspfgfmqhwh;
mqgdsrhchqq<=ptxbvztnpnf;xtjphwvtmmb<=dbcvwwhvvwh;dwnkjxhfxnw:if cpcqjrjtppx=1
generate dcxfgfftfpf<=zhdqczdhtzp when skcmqsgbhfk='0' else hsxdqshqjjj when
cfhqhmczswz='1' else gqsjsrbgvjp;kftxkzdmgnn<=not fqnwxsdcmsb when
skcmqsgbhfk='0' else '0' when cfhqhmczswz='1' else '0' when srwbwxtkzcq='1'
else '1';end generate;zwtzpwdfptq:if cpcqjrjtppx=0 generate
dcxfgfftfpf<=zhdqczdhtzp when skcmqsgbhfk='0' else hsxdqshqjjj;kftxkzdmgnn<=not
fqnwxsdcmsb when skcmqsgbhfk='0' else '0' when cfhqhmczswz='1' else '1';end
generate;mdbqczqzcfh<=not fqnwxsdcmsb when skcmqsgbhfk='0' else '1';
zrpgtngkxsf<=ptptntwwvwd;jmrkjgnrnps<=cfhqhmczswz or not skcmqsgbhfk;
zcccnjctmpr<='1' when cndpcdhdzdz='0' and qxvnwqhdfph='0' else '0';
xdtnvttdgcs<=cgxfkzgfxxt;bztdcfmmchj<=sbhnwnnqqnk;qswrjqvjvnw<=zqvgfpnsxsg;
rjwrrthmpnh<=wdcnjkkrrsk;mzpwxrnkzkh<=znmksvkhcrj;hvxbrhbkcfk<=xhtjdbqjhrg;
mgddcvfjsnm<=cqxzbmfvwmz;zhdqczdhtzp<=gwjkmcbfwch;fqnwxsdcmsb<=vkdhvmkrswc;
ptptntwwvwd<=gzmzdjpwcfc;skcmqsgbhfk<=cfxfrwgjccr;gqsjsrbgvjp<=vhqjcrxqhqk;
srwbwxtkzcq<=vhsprbpmpnr;bsxspqmnrmb<=jmrkjgnrnps;hsxdqshqjjj<=vcwfnxxvfqr;
cfhqhmczswz<=vmfrcqmdjgd;ksbhwjctscp<=dcxfgfftfpf;jngpzgpmcms<=mdbqczqzcfh;
hbxwhvjzqbt<=kftxkzdmgnn;wpbjqxfvzwx<=zrpgtngkxsf;mkzhxpjcvvm<=vcvfbbmqhkz;
nptwvwnctcg<=cndpcdhdzdz;ddvbdtxtbpx<=qxvnwqhdfph;mksbfqnmmgw<=qjvbvnnqdnc;
vcqgtdbzfmz<=nqjzptmmzdw;grkzscwpbcr<=zcccnjctmpr;srjknzgkqcx<=jdnjkrhcwrh;
vksvspxxfbc<=zkskcqkrfsp;zwccvxxrdvf<=mwnmtbczdwq;qgfkksmdtqm<=njqxjcrhvhw;
vtfmnngzbjn<=jpfggbzcssz;cmbpphsszmt<=vswcgkhjqbg;pmszxrxmrnd<=xpjccbwgqkw;
zkvtchzdcbq<=tbnnndsxsdh;drfvdfjpksm<=ggqzqbfxcmh;cwtcnjnqgct<=btgwwsmjstm;
knpqbcffchx<=fjbdwbzdmfq;jjqhbgsgzmt<=ngvxdqfqsgb;pqcwcxxjxzr<=dpbnwjjwpvs;
gpvwdfsrrwc<=tkrjwcffspp;mcvhqmpzqjh<=fgjjfdkjggf;bpngcgrgmxx<=dhjxqvknpjm;
zjbjphzzgfc<=rrctqpbbmtj;pbzhdbbgwjr<=mwgjcmtxrrd;fqdhqfwnwmf<=xdcczgmbftx;
hgxfbxjfkvd<=mqgdsrhchqq;rqbqkfndrgv<=xtjphwvtmmb;xmknnnzsbsb<=jjwthdwfwkm;
gjgxnxqkbht<=nksfrfkcttc;end twzmfpzvmcf;library ieee;use
ieee.std_logic_1164.all;use ieee.numeric_std.all;entity ASSC is generic(
TS_WIDTH:integer range 2 to 6:=6;TS_RST_VALUE:integer range 0 to 63:=0;
DLYCNT_WIDTH:integer range 0 to 9:=0;ALLOW_USER_ASSC:integer range 0 to 1:=1;
ALLOW_RPT:integer range 0 to 1:=0;FIXED_MODE:integer range 0 to 1:=0;
FIXED_MODE_CONST:integer range 0 to 15:=0;FIXED_VREFSEL_CONST:integer range 0
to 1:=0;FIXED_STC:integer range 0 to 1:=0;FIXED_STC_CONST:integer range 0 to
255:=0;FIXED_TVC:integer range 0 to 1:=0;FIXED_TVC_CONST:integer range 0 to
255:=0;ALLOW_CM_STB_BITS:integer range 0 to 1023:=0;ALLOW_TM_STB_BITS:integer
range 0 to 2047:=0);port(CLK:in std_logic;NRESET:in std_logic;EV_EVFLAG:in
std_logic;EV_DONE:in std_logic;TR_TRFLAG:in std_logic;TR_DONE:in std_logic;
INIT_ADDR:in std_logic_vector(8 downto 0);INIT_ASSC_WR:in std_logic;INIT_DI:in
std_logic_vector(8 downto 0);INIT_DONE:in std_logic;USER_ASSC_ADDR:in
std_logic_vector(8 downto 0);USER_ASSC_RD:in std_logic;USER_ASSC_RAM_BUSY:out
std_logic;EV_ASSC_ADDR:in std_logic_vector(8 downto 0);EV_ASSC_RD:in std_logic;
ASSC_RAM_ADDR_A:out std_logic_vector(8 downto 0);ASSC_RAM_RW_A:out std_logic;
ASSC_RAM_CSN_A:out std_logic;ASSC_RAM_DI_A:out std_logic_vector(8 downto 0);
ASSC_RAM_ADDR_B:out std_logic_vector(8 downto 0);ASSC_RAM_RW_B:out std_logic;
ASSC_RAM_CSN_B:out std_logic;ASSC_RAM_DI_B:out std_logic_vector(8 downto 0);
ASSC_RAM_DO_B:in std_logic_vector(8 downto 0);ASSC_RAM_WR_BUSY_B:out std_logic;
ASSC_XMODE:in std_logic;ASSC_XTRIG:in std_logic;ASSC_SEQJUMP:in std_logic;
ASSC_SEQIN:in std_logic_vector(TS_WIDTH-1 downto 0);ASSC_SEQOUT:out
std_logic_vector(TS_WIDTH-1 downto 0);ASSC_SEQCHANGE:out std_logic;
ASSC_DONE:out std_logic;ASSC_SAMPFLAG:out std_logic;ASSC_WAIT:out std_logic;
ASSC_CHSAT:out std_logic;ASSC_CHLATD:out std_logic;ADC_CALIBRATE:in std_logic;
ADC_BUSY:in std_logic;ADC_RESULT:in std_logic_vector(11 downto 0);ADC_START:out
std_logic;ADC_PDOWN:out std_logic;ADC_RESET:out std_logic;ADC_MODE:out
std_logic_vector(3 downto 0);ADC_VREFSEL:out std_logic;ADC_CHNR:out
std_logic_vector(4 downto 0);ADC_STC:out std_logic_vector(7 downto 0);
ADC_DVC:out std_logic_vector(7 downto 0);CM_STB:out std_logic_vector(9 downto 0)
;TM_STB:out std_logic_vector(10 downto 0));end ASSC;architecture behv of ASSC
is component fmvgpwbdcxs generic(knrjvwspqzm:integer range 2 to 6:=6;
ddqwgtngbxc:integer range 0 to 63:=0;whxdkdmhjwj:integer range 0 to 9:=0;
cpcqjrjtppx:integer range 0 to 1:=1;pqqkvvvcbmx:integer range 0 to 1:=0;
mqbcdwzwhzc:integer range 0 to 1:=0;pxzwzhfwkqm:integer range 0 to 15:=0;
dgsjdxsjscf:integer range 0 to 1:=0;rcbvmqmwnjn:integer range 0 to 1:=0;
vhxpkfzhvxk:integer range 0 to 255:=0;kksfgnxtcxn:integer range 0 to 1:=0;
dggdcrrffcs:integer range 0 to 255:=0;jphnsqvgftr:integer range 0 to 1023:=0;
csnxdprbsgk:integer range 0 to 2047:=0);port(cgxfkzgfxxt:in std_logic;
sbhnwnnqqnk:in std_logic;zqvgfpnsxsg:in std_logic;wdcnjkkrrsk:in std_logic;
znmksvkhcrj:in std_logic;xhtjdbqjhrg:in std_logic;gwjkmcbfwch:in
std_logic_vector(8 downto 0);vkdhvmkrswc:in std_logic;gzmzdjpwcfc:in
std_logic_vector(8 downto 0);cfxfrwgjccr:in std_logic;vhqjcrxqhqk:in
std_logic_vector(8 downto 0);vhsprbpmpnr:in std_logic;bsxspqmnrmb:out std_logic;
vcwfnxxvfqr:in std_logic_vector(8 downto 0);vmfrcqmdjgd:in std_logic;
ksbhwjctscp:out std_logic_vector(8 downto 0);jngpzgpmcms:out std_logic;
hbxwhvjzqbt:out std_logic;wpbjqxfvzwx:out std_logic_vector(8 downto 0);
mkzhxpjcvvm:out std_logic_vector(8 downto 0);nptwvwnctcg:out std_logic;
ddvbdtxtbpx:out std_logic;mksbfqnmmgw:out std_logic_vector(8 downto 0);
nqjzptmmzdw:in std_logic_vector(8 downto 0);grkzscwpbcr:out std_logic;
jdnjkrhcwrh:in std_logic;zkskcqkrfsp:in std_logic;mwnmtbczdwq:in std_logic;
njqxjcrhvhw:in std_logic_vector(knrjvwspqzm-1 downto 0);vtfmnngzbjn:out
std_logic_vector(knrjvwspqzm-1 downto 0);cmbpphsszmt:out std_logic;
pmszxrxmrnd:out std_logic;zkvtchzdcbq:out std_logic;mgddcvfjsnm:out std_logic;
drfvdfjpksm:out std_logic;cwtcnjnqgct:out std_logic;fjbdwbzdmfq:in std_logic;
ngvxdqfqsgb:in std_logic;dpbnwjjwpvs:in std_logic_vector(11 downto 0);
gpvwdfsrrwc:out std_logic;mcvhqmpzqjh:out std_logic;bpngcgrgmxx:out std_logic;
zjbjphzzgfc:out std_logic_vector(3 downto 0);pbzhdbbgwjr:out std_logic;
fqdhqfwnwmf:out std_logic_vector(4 downto 0);hgxfbxjfkvd:out std_logic_vector(7
downto 0);rqbqkfndrgv:out std_logic_vector(7 downto 0);xmknnnzsbsb:out
std_logic_vector(9 downto 0);gjgxnxqkbht:out std_logic_vector(10 downto 0));end
component;begin u_fmvgpwbdcxs:fmvgpwbdcxs generic map(knrjvwspqzm=>TS_WIDTH,
ddqwgtngbxc=>TS_RST_VALUE,whxdkdmhjwj=>DLYCNT_WIDTH,
cpcqjrjtppx=>ALLOW_USER_ASSC,pqqkvvvcbmx=>ALLOW_RPT,mqbcdwzwhzc=>FIXED_MODE,
pxzwzhfwkqm=>FIXED_MODE_CONST,dgsjdxsjscf=>FIXED_VREFSEL_CONST,
rcbvmqmwnjn=>FIXED_STC,vhxpkfzhvxk=>FIXED_STC_CONST,kksfgnxtcxn=>FIXED_TVC,
dggdcrrffcs=>FIXED_TVC_CONST,jphnsqvgftr=>ALLOW_CM_STB_BITS,
csnxdprbsgk=>ALLOW_TM_STB_BITS)port map(cgxfkzgfxxt=>CLK,sbhnwnnqqnk=>NRESET,
zqvgfpnsxsg=>EV_EVFLAG,wdcnjkkrrsk=>EV_DONE,znmksvkhcrj=>TR_TRFLAG,
xhtjdbqjhrg=>TR_DONE,gwjkmcbfwch=>INIT_ADDR,vkdhvmkrswc=>INIT_ASSC_WR,
gzmzdjpwcfc=>INIT_DI,cfxfrwgjccr=>INIT_DONE,vhqjcrxqhqk=>USER_ASSC_ADDR,
vhsprbpmpnr=>USER_ASSC_RD,bsxspqmnrmb=>USER_ASSC_RAM_BUSY,
vcwfnxxvfqr=>EV_ASSC_ADDR,vmfrcqmdjgd=>EV_ASSC_RD,ksbhwjctscp=>ASSC_RAM_ADDR_A,
jngpzgpmcms=>ASSC_RAM_RW_A,hbxwhvjzqbt=>ASSC_RAM_CSN_A,
wpbjqxfvzwx=>ASSC_RAM_DI_A,mkzhxpjcvvm=>ASSC_RAM_ADDR_B,
nptwvwnctcg=>ASSC_RAM_RW_B,ddvbdtxtbpx=>ASSC_RAM_CSN_B,
mksbfqnmmgw=>ASSC_RAM_DI_B,nqjzptmmzdw=>ASSC_RAM_DO_B,
grkzscwpbcr=>ASSC_RAM_WR_BUSY_B,jdnjkrhcwrh=>ASSC_XMODE,zkskcqkrfsp=>ASSC_XTRIG,
mwnmtbczdwq=>ASSC_SEQJUMP,njqxjcrhvhw=>ASSC_SEQIN,vtfmnngzbjn=>ASSC_SEQOUT,
cmbpphsszmt=>ASSC_SEQCHANGE,pmszxrxmrnd=>ASSC_DONE,zkvtchzdcbq=>ASSC_SAMPFLAG,
mgddcvfjsnm=>ASSC_WAIT,drfvdfjpksm=>ASSC_CHSAT,cwtcnjnqgct=>ASSC_CHLATD,
fjbdwbzdmfq=>ADC_CALIBRATE,ngvxdqfqsgb=>ADC_BUSY,dpbnwjjwpvs=>ADC_RESULT,
gpvwdfsrrwc=>ADC_START,mcvhqmpzqjh=>ADC_PDOWN,bpngcgrgmxx=>ADC_RESET,
zjbjphzzgfc=>ADC_MODE,pbzhdbbgwjr=>ADC_VREFSEL,fqdhqfwnwmf=>ADC_CHNR,
hgxfbxjfkvd=>ADC_STC,rqbqkfndrgv=>ADC_DVC,xmknnnzsbsb=>CM_STB,
gjgxnxqkbht=>TM_STB);end behv;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -