📄 initcfg_xc.vhd
字号:
jsnhqgkgbcr:process(gmvpvmvhgsk,hcmmkfggqvf,nkvgsknmttb,jvsdsgckbcw,nwpqgptcgtk,
pqwkvrnjpgr,pxfbwcdjghv,xrbjgmxdfvs,kscptwbprxp,fgrwbhfgbdr,xkchnvrpmwp,
whtqjkrvqhz,wrdsgpbpffr,zdcgqbjqvvz,tkkjzrbfzcp,gwtrbrhqntn,zzpfqcmdqzq,
wcjdkrjwxww,tktsqctdscn,wxqqqsndpvg,bqcjhjznrrk,mstwmxvjjjj,pzxrwrrghjp,
tkxbtrtwbvj,mrkkngvmwhz,vfrpsxnmfzc,qpxcfnstvqw,xswqvtvwdpv,rwpkppxdtxh,
gvhwvqqspzv,cvjdmtswkkp,fksjbpqtwgw,vmtqjbqqjcs,qrfptxnrgnv,prfwdgvqqtd,
dftgvmdgdvk,jkmjprqwcph,rpgtbpqsqrc,rphpdvfvgtp,gxkwbxcqvpq,jdsfxrrjxpz,
xjhztzzsptg)variable vhqdhmzxwnx:unsigned(gvwtbkksmbj-1 downto 0);variable
kwzdnwbfjkf:unsigned(gvwtbkksmbj-1 downto 0);begin vhqdhmzxwnx:=unsigned(
whtqjkrvqhz);kwzdnwbfjkf:=unsigned(wrdsgpbpffr);if(wxqqqsndpvg and not
zzpfqcmdqzq)='1' then ngdspksjjpt<=mstwmxvjjjj;gkctznwcrvw<='0';gsrsvcdmswx<=(
others=>'0');ghgvftjwxjj<='0';khtmnzqrmnj<='0';zmkhctbgmsw<='0';
cdgxttdqxhx<='0';cxxrzhsvrbd<='0';dmzzmqsqnsw<='0';bjcmhfnnsxz<='0';
pfpvqvtqjrf<='1';phchxmqrjkq<=pzxrwrrghjp;mgjxrzbrbpz<=pzxrwrrghjp;
gwxkmmhqxqq<='0';jrfrmmpsrws<=fgrwbhfgbdr(to_integer(vhqdhmzxwnx));
tvkmzbtjcnr<='0';dwcmbrvwjsc<=zdcgqbjqvvz;hrzmvjtgbdf<='0';elsif
xkchnvrpmwp='1' then ngdspksjjpt<=mstwmxvjjjj;gkctznwcrvw<='0';gsrsvcdmswx(31
downto 9)<=(others=>'0');gsrsvcdmswx(8 downto 0)<=gmvpvmvhgsk;ghgvftjwxjj<='0';
khtmnzqrmnj<='0';zmkhctbgmsw<='0';cdgxttdqxhx<='0';cxxrzhsvrbd<='0';
dmzzmqsqnsw<='0';bjcmhfnnsxz<=bqcjhjznrrk;phchxmqrjkq<='0';mgjxrzbrbpz<='0';
pfpvqvtqjrf<='1';gwxkmmhqxqq<='0';jrfrmmpsrws<=fgrwbhfgbdr(to_integer(
kwzdnwbfjkf));tvkmzbtjcnr<='0';dwcmbrvwjsc<=zdcgqbjqvvz;
hrzmvjtgbdf<=xjhztzzsptg;else ngdspksjjpt<=tkxbtrtwbvj;gkctznwcrvw<=mrkkngvmwhz;
gsrsvcdmswx<=vfrpsxnmfzc;ghgvftjwxjj<=qpxcfnstvqw;khtmnzqrmnj<=xswqvtvwdpv;
zmkhctbgmsw<=rwpkppxdtxh;cdgxttdqxhx<=gvhwvqqspzv;cxxrzhsvrbd<=cvjdmtswkkp;
dmzzmqsqnsw<=fksjbpqtwgw;bjcmhfnnsxz<=vmtqjbqqjcs;phchxmqrjkq<=qrfptxnrgnv;
mgjxrzbrbpz<=prfwdgvqqtd;pfpvqvtqjrf<=dftgvmdgdvk;gwxkmmhqxqq<=jkmjprqwcph;
jrfrmmpsrws<=rpgtbpqsqrc;tvkmzbtjcnr<=rphpdvfvgtp;dwcmbrvwjsc<=gxkwbxcqvpq;
hrzmvjtgbdf<=jdsfxrrjxpz;end if;if(wxqqqsndpvg and not xkchnvrpmwp)='1' then
hrdbnmzftpn<=hcmmkfggqvf;cpqqthwwhvc<=jvsdsgckbcw;pqmzrrnpzvx<=pqwkvrnjpgr;
dqwznvdhpnz<=kscptwbprxp(to_integer(vhqdhmzxwnx));spvtvgmxprb<=fgrwbhfgbdr(
to_integer(vhqdhmzxwnx));phzmjbbksvq<=xrbjgmxdfvs(to_integer(kwzdnwbfjkf));else
hrdbnmzftpn<=nkvgsknmttb;cpqqthwwhvc<=nwpqgptcgtk;pqmzrrnpzvx<=pxfbwcdjghv;
dqwznvdhpnz<=kscptwbprxp(to_integer(kwzdnwbfjkf));spvtvgmxprb<=fgrwbhfgbdr(
to_integer(kwzdnwbfjkf));phzmjbbksvq<=xrbjgmxdfvs(to_integer(kwzdnwbfjkf));end
if;ksrtmtcfcwj<=not(wxqqqsndpvg or xkchnvrpmwp);if(wxqqqsndpvg and not
zzpfqcmdqzq)='1' then kswswprpfgw<=tkkjzrbfzcp;dfnxtgvkvjr<=wcjdkrjwxww;else
kswswprpfgw<=gwtrbrhqntn;dfnxtgvkvjr<=tktsqctdscn;end if;end process
jsnhqgkgbcr;end zgctkkxfnjk;library IEEE;use IEEE.std_logic_1164.all;use
IEEE.numeric_std.all;entity INITCFG_XC is generic(MAX_CLIENT:integer:=4;
MAX_CLIENT_BITS:integer:=2;MAX_WORD_BIT:integer:=10);port(xaa:in
std_logic_vector((9*MAX_CLIENT)-1 downto 0);xab:in std_logic_vector(
MAX_CLIENT-1 downto 0);xac:in std_logic;xad:in std_logic_vector(
MAX_CLIENT_BITS-1 downto 0);xae:in std_logic;xaf:in std_logic;xag:in
std_logic_vector(MAX_CLIENT_BITS-1 downto 0);xah:in std_logic_vector(17 downto
0);xai:in std_logic_vector(MAX_CLIENT-1 downto 0);xaj:in std_logic_vector((
MAX_WORD_BIT*MAX_CLIENT)-1 downto 0);xak:in std_logic_vector((18*MAX_CLIENT)-1
downto 0);xal:in std_logic_vector(MAX_CLIENT-1 downto 0);xam:in
std_logic_vector((2*MAX_CLIENT)-1 downto 0);xan:in std_logic;xao:in std_logic;
xap:in std_logic;xaq:in std_logic;xar:in std_logic_vector(17 downto 0);xas:in
std_logic;xat:in std_logic_vector(31 downto 0);xau:in std_logic;xav:in
std_logic;xaw:in std_logic;xax:in std_logic;xay:in std_logic;xaz:in std_logic;
xba:in std_logic;xbb:in std_logic;xbc:in std_logic;xbd:in std_logic;xbe:in
std_logic;xbf:in std_logic;xbg:in std_logic;xbh:in std_logic_vector(1 downto 0);
xbi:in std_logic;xbj:in std_logic;xbk:in std_logic;xbl:in std_logic;xbm:out
std_logic_vector(17 downto 0);xbn:out std_logic;xbo:out std_logic_vector(31
downto 0);xbp:out std_logic;xbq:out std_logic;xbr:out std_logic;xbs:out
std_logic;xbt:out std_logic;xbu:out std_logic;xbv:out std_logic;xbw:out
std_logic;xbx:out std_logic;xby:out std_logic;xbz:out std_logic;xca:out
std_logic;xcb:out std_logic;xcc:out std_logic_vector(1 downto 0);xcd:out
std_logic;xce:out std_logic_vector(17 downto 0);xcf:out std_logic;xcg:out
std_logic_vector(1 downto 0);xch:out std_logic;xci:out std_logic;xcj:out
std_logic_vector(MAX_WORD_BIT-1 downto 0);xck:out std_logic;xcl:out std_logic;
xcm:out std_logic);end INITCFG_XC;architecture behavior of INITCFG_XC is
component ffppvfwnggt generic(rptpxqccxqw:integer:=4;gvwtbkksmbj:integer:=2;
zpzqwtrdvbp:integer:=10);port(hfnmhrgtvbw:in std_logic_vector((9*rptpxqccxqw)-1
downto 0);wckgrvdkczt:in std_logic_vector(rptpxqccxqw-1 downto 0);
ttgsznzrpgx:in std_logic;xphmpqnjghg:in std_logic_vector(gvwtbkksmbj-1 downto 0)
;xgqdtrbtfwr:in std_logic;rpwbwmfxnmj:in std_logic;mvgxfgvjfzn:in
std_logic_vector(gvwtbkksmbj-1 downto 0);tvwdrtjvkxv:in std_logic_vector(17
downto 0);npsdqxpcbcc:in std_logic_vector(rptpxqccxqw-1 downto 0);
tpbpwgndqfj:in std_logic_vector((zpzqwtrdvbp*rptpxqccxqw)-1 downto 0);
cggbcgzpbqb:in std_logic_vector((18*rptpxqccxqw)-1 downto 0);mkhhsrjxbqw:in
std_logic_vector(rptpxqccxqw-1 downto 0);grmnwjxgzvw:in std_logic_vector((
2*rptpxqccxqw)-1 downto 0);khvrbwfjxgg:in std_logic;ztfzcgnjxwm:in std_logic;
cwzmcmgmsdx:in std_logic;qhgxjbmgjjn:in std_logic;xztgfqxcvsn:in
std_logic_vector(17 downto 0);rvbtjpmttrw:in std_logic;mswqwhrrdnb:in
std_logic_vector(31 downto 0);phsjbhqdwhn:in std_logic;hgzbzvwmwjp:in std_logic;
dfdndrvffdg:in std_logic;rkqgvdgjjdm:in std_logic;sqmfmgjzzhj:in std_logic;
wszzgxmvfsb:in std_logic;mzkpttkkbsv:in std_logic;gtkwrtbsnbf:in std_logic;
nttmbcpkzph:in std_logic;ssqsspnkgjd:in std_logic;ctfxpdkwmzk:in std_logic;
hxkpgrwmgnc:in std_logic;ptqrpngxpps:in std_logic;twnbxjfbtqk:in
std_logic_vector(1 downto 0);tqxwgtnnjfs:in std_logic;wnfdjdhrdwv:in std_logic;
sdcfzkxtrzd:in std_logic;bjwbtkbjdsk:in std_logic;srdtcqsfmmm:out
std_logic_vector(17 downto 0);vbcmhtxvscg:out std_logic;nsdtxdxbqqg:out
std_logic_vector(31 downto 0);mjvwhrnznxb:out std_logic;spjddpncmkp:out
std_logic;jntbmncwjrh:out std_logic;pjmnhvnmvsc:out std_logic;zcqrjbdxdgn:out
std_logic;htfzmbpzfrs:out std_logic;rfrnsffpskk:out std_logic;twxmgvvpbgm:out
std_logic;zfstbsgfjch:out std_logic;wtggfgzpwqq:out std_logic;hhjmdcbhztg:out
std_logic;zsnhxwvhdkk:out std_logic;ctfnrvvgdns:out std_logic;hbqjhzzrbnr:out
std_logic_vector(1 downto 0);cpnkrsdhxsx:out std_logic;vvnvwmwdrjc:out
std_logic_vector(17 downto 0);bdtcqrsdjzd:out std_logic;sbtztkvwzpx:out
std_logic_vector(1 downto 0);drznfbqbzdw:out std_logic;mczmrrzffjt:out
std_logic;tvsvpgphqff:out std_logic_vector(zpzqwtrdvbp-1 downto 0);
qhmktczfjpq:out std_logic;cbfkvdxnxdp:out std_logic;ttkcwbrgmjd:out std_logic);
end component;begin u_ffppvfwnggt:ffppvfwnggt generic map(
rptpxqccxqw=>MAX_CLIENT,gvwtbkksmbj=>MAX_CLIENT_BITS,zpzqwtrdvbp=>MAX_WORD_BIT)
port map(hfnmhrgtvbw=>xaa,wckgrvdkczt=>xab,ttgsznzrpgx=>xac,xphmpqnjghg=>xad,
xgqdtrbtfwr=>xae,rpwbwmfxnmj=>xaf,mvgxfgvjfzn=>xag,tvwdrtjvkxv=>xah,
npsdqxpcbcc=>xai,tpbpwgndqfj=>xaj,cggbcgzpbqb=>xak,mkhhsrjxbqw=>xal,
grmnwjxgzvw=>xam,khvrbwfjxgg=>xan,ztfzcgnjxwm=>xao,cwzmcmgmsdx=>xap,
qhgxjbmgjjn=>xaq,xztgfqxcvsn=>xar,rvbtjpmttrw=>xas,mswqwhrrdnb=>xat,
phsjbhqdwhn=>xau,hgzbzvwmwjp=>xav,dfdndrvffdg=>xaw,rkqgvdgjjdm=>xax,
sqmfmgjzzhj=>xay,wszzgxmvfsb=>xaz,mzkpttkkbsv=>xba,gtkwrtbsnbf=>xbb,
nttmbcpkzph=>xbc,ssqsspnkgjd=>xbd,ctfxpdkwmzk=>xbe,hxkpgrwmgnc=>xbf,
ptqrpngxpps=>xbg,twnbxjfbtqk=>xbh,tqxwgtnnjfs=>xbi,wnfdjdhrdwv=>xbj,
sdcfzkxtrzd=>xbk,bjwbtkbjdsk=>xbl,srdtcqsfmmm=>xbm,vbcmhtxvscg=>xbn,
nsdtxdxbqqg=>xbo,mjvwhrnznxb=>xbp,spjddpncmkp=>xbq,jntbmncwjrh=>xbr,
pjmnhvnmvsc=>xbs,zcqrjbdxdgn=>xbt,htfzmbpzfrs=>xbu,rfrnsffpskk=>xbv,
twxmgvvpbgm=>xbw,zfstbsgfjch=>xbx,wtggfgzpwqq=>xby,hhjmdcbhztg=>xbz,
zsnhxwvhdkk=>xca,ctfnrvvgdns=>xcb,hbqjhzzrbnr=>xcc,cpnkrsdhxsx=>xcd,
vvnvwmwdrjc=>xce,bdtcqrsdjzd=>xcf,sbtztkvwzpx=>xcg,drznfbqbzdw=>xch,
mczmrrzffjt=>xci,tvsvpgphqff=>xcj,qhmktczfjpq=>xck,cbfkvdxnxdp=>xcl,
ttkcwbrgmjd=>xcm);end behavior;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -