⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 smev.vhd

📁 Actel Fusion System Management Development Kit UART Example. Contains Libero design using CoreABC. P
💻 VHD
📖 第 1 页 / 共 2 页
字号:
ckghxwbsztp<=std_logic_vector(unsigned(skvvrjsfzcv)+ 1);end if;if
cmkddgvkrrs='1' then tddhwjzbsrj<='1';elsif gcqsptsqnzg='1' then
tddhwjzbsrj<='0';end if;end if;end process;wqpbnjzjqsx<='1' when
skvvrjsfzcv=qxxpzmphrcg else '0';gpjgkmhxbkn<='1' when skvvrjsfzcv=cvfspjtfprm
else '0';sjmhhpsnqjz:if gzpxmwsppbw<=5 generate qdcctbddgxq(8 downto
gzpxmwsppbw+1)<=(others=>'0');qdcctbddgxq(gzpxmwsppbw downto 1)<=ckghxwbsztp;
qdcctbddgxq(0)<=tddhwjzbsrj;end generate;wpmngnmsqcx:if ggtrvzfhvzg=1 generate
process(vfmncnnxwxb,cdhmfjmbskp)begin if cdhmfjmbskp='0' then rdgwgtpkbgc<=(
others=>'0');elsif rising_edge(vfmncnnxwxb)then if pztgdsnzsrn='1' then
rdgwgtpkbgc<=bvjqfzmkvqs;end if;end if;end process;nvxptftwxwj(18)<='0';
nvxptftwxwj(17 downto 6)<=bnvtvdkcnpp;nvxptftwxwj(5 downto 0)<=ftbkrvpxdbp;end
generate;jpkscwttmbk:if ggtrvzfhvzg=0 generate rdgwgtpkbgc<=(others=>'0');
nvxptftwxwj<=(others=>'0');end generate;sccpfkbpjqm<='1' when
rdgwgtpkbgc="0000" else '0';process(tkcbxxqbtfx,rgmpzqrbghh,fgsfsntrzph,
kkkmkxwwscv,tddhwjzbsrj,tvhnmpjfhrb,chxkngxwqdj,pbzxzjhqhwz,fqkdsnjfpdb,
zvzgbvvmvvb,spbznmtdmpd,wqpbnjzjqsx,gpjgkmhxbkn,qdcctbddgxq)begin
nqmczbhbzpg<=tkcbxxqbtfx;rnrwrhfrhnn<='1';fskhwddcbbg<='1';
dbdctxjdvkp<=shsxpvrhshq;bskrjhjvqpf<='0';szccsnzpbrp<='0';rrtqrjpchxz<='0';
gpwvqcjqzjx<='0';zqwvqwfmrqx<='0';bmkwsvgbnqv<='0';rhqwjqkhdhr<='0';
pztgdsnzsrn<='0';dcqfdcmfzss<=kkkmkxwwscv&xwwgksgtkqh;cptqppmhhfr<='0';
jtxvvnrrdjw<='0';qbszkvfxpws<='0';szfwdhbbdnb<='0';qjgcfcnxqtr<='0';
khtmpxkgdxf<='0';vtwdkxfrcqb<='0';jqfgrkqqqrt<='0';sphhbprckfk<='0';
chxjmrqxptz<='0';hdwxrmxfsxp<=tddhwjzbsrj;cmkddgvkrrs<='0';gcqsptsqnzg<='0';
vghtsgpnxjg<='0';case tkcbxxqbtfx is when kntgqgfbzkr=>if rgmpzqrbghh='1' then
nqmczbhbzpg<=cgxqzmxpnxk;else nqmczbhbzpg<=kntgqgfbzkr;end if;when
cgxqzmxpnxk=>rnrwrhfrhnn<='0';bskrjhjvqpf<='1';nqmczbhbzpg<=zjkzxqtrnnb;when
zjkzxqtrnnb=>rnrwrhfrhnn<='0';szccsnzpbrp<='1';nqmczbhbzpg<=qrqqppcxnvn;when
qrqqppcxnvn=>rrtqrjpchxz<='1';gpwvqcjqzjx<='1';nqmczbhbzpg<=fvkvddpjhhn;when
fvkvddpjhhn=>rnrwrhfrhnn<='0';bskrjhjvqpf<='1';nqmczbhbzpg<=ggzhpgqrzwh;when
ggzhpgqrzwh=>case fgsfsntrzph is when xbpzprjgbtz=>rnrwrhfrhnn<='0';
rhqwjqkhdhr<='1';szccsnzpbrp<='1';bskrjhjvqpf<='1';nqmczbhbzpg<=xxntrgztxmd;
when gwnrsjgrpbc=>pztgdsnzsrn<='1';dcqfdcmfzss<=kkkmkxwwscv&xwwgksgtkqh;
cptqppmhhfr<='1';nqmczbhbzpg<=sdcwbhhndvx;when jswmkwkntrs=>rnrwrhfrhnn<='0';
fskhwddcbbg<='0';dbdctxjdvkp<=tvhnmpjfhrb(8 downto 0);bskrjhjvqpf<='1';
nqmczbhbzpg<=znqvsmrcdpb;when cgrzbdcbzpz=>rnrwrhfrhnn<='0';fskhwddcbbg<='0';
dbdctxjdvkp<=chxkngxwqdj(8 downto 0);bskrjhjvqpf<='1';nqmczbhbzpg<=szzwndnzvgm;
when zddwkjwwzbw=>rnrwrhfrhnn<='0';fskhwddcbbg<='0';dbdctxjdvkp<=cmxrrdpdrcs&
pbzxzjhqhwz;jtxvvnrrdjw<='1';bskrjhjvqpf<='1';nqmczbhbzpg<=fvkvddpjhhn;when
nrpbqkqfsmf=>szfwdhbbdnb<='1';rnrwrhfrhnn<='0';nqmczbhbzpg<=qrtrwbcbrcg;when
jgnwnzmddbg=>vghtsgpnxjg<='1';nqmczbhbzpg<=kntgqgfbzkr;when
others=>bskrjhjvqpf<='1';nqmczbhbzpg<=fvkvddpjhhn;end case;when
xxntrgztxmd=>rrtqrjpchxz<='1';gpwvqcjqzjx<='1';zqwvqwfmrqx<='1';
nqmczbhbzpg<=fjkwxwrhjth;when fjkwxwrhjth=>rnrwrhfrhnn<='0';bskrjhjvqpf<='1';
nqmczbhbzpg<=fwhsjhtxbzz;when fwhsjhtxbzz=>rnrwrhfrhnn<='0';khtmpxkgdxf<='1';
nqmczbhbzpg<=gxvwpbbfszd;when gxvwpbbfszd=>qjgcfcnxqtr<='1';bmkwsvgbnqv<='1';
nqmczbhbzpg<=fvkvddpjhhn;when sdcwbhhndvx=>jqfgrkqqqrt<='1';
dcqfdcmfzss<=kkkmkxwwscv&njddmfhbdwc;cptqppmhhfr<='1';nqmczbhbzpg<=rqsqdpqbsvd;
when rqsqdpqbsvd=>vtwdkxfrcqb<='1';nqmczbhbzpg<=hqxrmvjrpxb;when
hqxrmvjrpxb=>rnrwrhfrhnn<='0';fskhwddcbbg<='0';dbdctxjdvkp<=fqkdsnjfpdb(14
downto 6);bskrjhjvqpf<='1';nqmczbhbzpg<=tkfbpdjdwdh;when
tkfbpdjdwdh=>rnrwrhfrhnn<='0';fskhwddcbbg<='0';dbdctxjdvkp<=fqkdsnjfpdb(5
downto 0)&fqkdsnjfpdb(17 downto 15);bskrjhjvqpf<='1';qbszkvfxpws<='1';
nqmczbhbzpg<=fvkvddpjhhn;when znqvsmrcdpb=>rnrwrhfrhnn<='0';fskhwddcbbg<='0';
dbdctxjdvkp<=zzrrppgmfrr&tvhnmpjfhrb(11 downto 9);bskrjhjvqpf<='1';
nqmczbhbzpg<=fvkvddpjhhn;when szzwndnzvgm=>rnrwrhfrhnn<='0';fskhwddcbbg<='0';
dbdctxjdvkp<=zzrrppgmfrr&chxkngxwqdj(11 downto 9);bskrjhjvqpf<='1';
nqmczbhbzpg<=fvkvddpjhhn;when qrtrwbcbrcg=>bskrjhjvqpf<='1';if zvzgbvvmvvb='0'
then if spbznmtdmpd='1' then if wqpbnjzjqsx='1' then cmkddgvkrrs<='1';
sphhbprckfk<='1';else gcqsptsqnzg<='1';chxjmrqxptz<='1';end if;else
gcqsptsqnzg<='1';sphhbprckfk<='1';end if;else if spbznmtdmpd='0' then if
gpjgkmhxbkn='1' then gcqsptsqnzg<='1';sphhbprckfk<='1';else cmkddgvkrrs<='1';
chxjmrqxptz<='1';end if;else cmkddgvkrrs<='1';sphhbprckfk<='1';end if;end if;
nqmczbhbzpg<=fkfmkfrjbkn;when fkfmkfrjbkn=>rnrwrhfrhnn<='0';fskhwddcbbg<='0';
dbdctxjdvkp<=qdcctbddgxq;bskrjhjvqpf<='1';nqmczbhbzpg<=fvkvddpjhhn;end case;end
process;xgwbqmjjjkn<=kdnvqzwcfgg;process(vfmncnnxwxb,cdhmfjmbskp)begin if
cdhmfjmbskp='0' then tkcbxxqbtfx<=kntgqgfbzkr;elsif rising_edge(vfmncnnxwxb)
then if xgwbqmjjjkn='1' then tkcbxxqbtfx<=nqmczbhbzpg;else
tkcbxxqbtfx<=kntgqgfbzkr;end if;end if;end process;process(vfmncnnxwxb,
cdhmfjmbskp)begin if cdhmfjmbskp='0' then xwhwqsjfmgh<='0';elsif rising_edge(
vfmncnnxwxb)then xwhwqsjfmgh<=vghtsgpnxjg;end if;end process;process(
vfmncnnxwxb,cdhmfjmbskp)begin if cdhmfjmbskp='0' then njhxtffzjds<='0';elsif
rising_edge(vfmncnnxwxb)then if vghtsgpnxjg='1' or kdnvqzwcfgg='0' then
njhxtffzjds<='0';elsif qmmwhjxxxmv='1' then njhxtffzjds<='1';end if;end if;end
process;process(rdgwgtpkbgc,rqrqhcwpqdn)begin case rdgwgtpkbgc is when
"0001"=>gjkdbmpgrtc<="00"&rqrqhcwpqdn(11 downto 0)&"00000";when
"0010"=>gjkdbmpgrtc<="000"&rqrqhcwpqdn(11 downto 0)&"0000";when
"0011"=>gjkdbmpgrtc<="0000"&rqrqhcwpqdn(11 downto 0)&"000";when
"0100"=>gjkdbmpgrtc<="00000"&rqrqhcwpqdn(11 downto 0)&"00";when
"0101"=>gjkdbmpgrtc<="000000"&rqrqhcwpqdn(11 downto 0)&'0';when
"0110"=>gjkdbmpgrtc<="0000000"&rqrqhcwpqdn(11 downto 0);when
"0111"=>gjkdbmpgrtc<="00000000"&rqrqhcwpqdn(11 downto 1);when
"1000"=>gjkdbmpgrtc<="000000000"&rqrqhcwpqdn(11 downto 2);when
"1001"=>gjkdbmpgrtc<="0000000000"&rqrqhcwpqdn(11 downto 3);when
"1010"=>gjkdbmpgrtc<="00000000000"&rqrqhcwpqdn(11 downto 4);when
"1011"=>gjkdbmpgrtc<="000000000000"&rqrqhcwpqdn(11 downto 5);when
others=>gjkdbmpgrtc<='0'&rqrqhcwpqdn(11 downto 0)&"000000";end case;end process;
process(rdgwgtpkbgc,nvxptftwxwj)begin case rdgwgtpkbgc is when
"0001"=>bnwcvzjbgtc<="00"&nvxptftwxwj(17 downto 1);when
"0010"=>bnwcvzjbgtc<="000"&nvxptftwxwj(17 downto 2);when
"0011"=>bnwcvzjbgtc<="0000"&nvxptftwxwj(17 downto 3);when
"0100"=>bnwcvzjbgtc<="00000"&nvxptftwxwj(17 downto 4);when
"0101"=>bnwcvzjbgtc<="000000"&nvxptftwxwj(17 downto 5);when
"0110"=>bnwcvzjbgtc<="0000000"&nvxptftwxwj(17 downto 6);when
"0111"=>bnwcvzjbgtc<="00000000"&nvxptftwxwj(17 downto 7);when
"1000"=>bnwcvzjbgtc<="000000000"&nvxptftwxwj(17 downto 8);when
"1001"=>bnwcvzjbgtc<="0000000000"&nvxptftwxwj(17 downto 9);when
"1010"=>bnwcvzjbgtc<="00000000000"&nvxptftwxwj(17 downto 10);when
"1011"=>bnwcvzjbgtc<="000000000000"&nvxptftwxwj(17 downto 11);when
others=>bnwcvzjbgtc<='0'&nvxptftwxwj(17 downto 0);end case;end process;
fqkdsnjfpdb<=std_logic_vector(unsigned(nvxptftwxwj)+ unsigned(gjkdbmpgrtc)-
unsigned(bnwcvzjbgtc));sfhfzbqtdrd<=mtbjcfqsbqf;qnkbpwrmzbs<=xwhwqsjfmgh;
fxxtkzxdddv<=njhxtffzjds;kvdwfbqqtdx<=kcwskqfgkht;wvftvqhpsbt<=fskhwddcbbg;
pqsqdxgrqnr<=rnrwrhfrhnn;kzwwfrhnrpc<=dbdctxjdvkp;ncbdsnccxsc<=dcqfdcmfzss;
kzxjbrgqmtq<=cptqppmhhfr;rgwqjtfwpfh:if nktdnxxpfkw=1 generate
gwkwrcnhmmj<=cgkgctmdngm when kdnvqzwcfgg='0' else bmqzhbnrjrt when
hhbskjdwkbg='1' else sqqjqswwxnp;dzvzctcwtdh<=not ggqwscctmsj when
kdnvqzwcfgg='0' else '0' when hhbskjdwkbg='1' else '0' when qgsvmvtzdhp='1'
else '1';end generate;fqpgmsnbjkp:if nktdnxxpfkw=0 generate
gwkwrcnhmmj<=cgkgctmdngm when kdnvqzwcfgg='0' else bmqzhbnrjrt;dzvzctcwtdh<=not
ggqwscctmsj when kdnvqzwcfgg='0' else '0' when hhbskjdwkbg='1' else '1';end
generate;rtfmbvnhxzd<=not ggqwscctmsj when kdnvqzwcfgg='0' else '1';
gjmhhphthkr<=qdrnwmghkbr;bsbdfcsghvh<=hhbskjdwkbg or not kdnvqzwcfgg;
bpwnwvtjxjg<='1' when wvftvqhpsbt='0' and pqsqdxgrqnr='0' else '0';
vfmncnnxwxb<=ncpstvtwqnj;cdhmfjmbskp<=rpfzgwrwdkg;wdxjqgrpnwg<=gcmhrkbppgk;
kdbzpfbdnrt<=jbwprskzkqd;qfdjfxfcbmd<=hjpffdxwpbw;bbmxngswjfs<=ddpxkdxnzzm;
pnndcxmnbpm<=cvgrnnhzfgx;pmnnjbksbnp<=sfhfzbqtdrd;cgkgctmdngm<=xmpjfckcwxc;
ggqwscctmsj<=mxgpfwdmwkr;qdrnwmghkbr<=kphbsmpswkm;kdnvqzwcfgg<=hfzgjxkdrpz;
sqqjqswwxnp<=zxrxtxxbsks;qgsvmvtzdhp<=zhkvvfnsbjb;ftjpqbkvjxw<=bsbdfcsghvh;
bmqzhbnrjrt<=mhxzjtkwkbd;hhbskjdwkbg<=rnzjdwddzmq;brfcnbqvhcm<=fxxtkzxdddv;
tbjwqrfwfrn<=qnkbpwrmzbs;dsvtxghkjbb<=ncbdsnccxsc;ddbbjvkdkcq<=kzxjbrgqmtq;
jsjbsdptpds<=hvbjzpshknp;tnhpwppptjx<=mqmgjkmdztb;mkmkbnghgkd<=gwkwrcnhmmj;
pfbntnkqtmt<=rtfmbvnhxzd;zcjzqnkdqwb<=dzvzctcwtdh;rrjdhmvhdjx<=gjmhhphthkr;
djdxztmwwqc<=kvdwfbqqtdx;zbcrjjxqjgg<=wvftvqhpsbt;kkjrqmngvjc<=pqsqdxgrqnr;
zbqggxmnpbs<=kzwwfrhnrpc;gxkdnvhmgwf<=jrgzmrqhbkv;frhjqwkkhgk<=bpwnwvtjxjg;end
qhrkzzskhwz;library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;
entity SMEV is generic(TS_WIDTH:integer:=6;ALLOW_USER_EV:integer:=1;
ALLOW_DLPF:integer:=0;ALLOW_A_PLUS_B:integer:=0;ALLOW_A_MINUS_B:integer:=0;
ALLOW_A_GT_B:integer:=1;EV_ASIZE:integer:=9;ST_CNT_WIDTH:integer:=5;
USE_NON_G3:integer:=0);port(CLK:in std_logic;NRESET:in std_logic;ASSC_SEQOUT:in
std_logic_vector(TS_WIDTH-1 downto 0);ASSC_SEQCHANGE:in std_logic;ASSC_DONE:in
std_logic;ASSC_SAMPFLAG:in std_logic;ADC_CHNR:in std_logic_vector(4 downto 0);
EV_CHHOLD:out std_logic_vector(4 downto 0);INIT_ADDR:in std_logic_vector(
EV_ASIZE-1 downto 0);INIT_EV_WR:in std_logic;INIT_DI:in std_logic_vector(8
downto 0);INIT_DONE:in std_logic;USER_EV_ADDR:in std_logic_vector(EV_ASIZE-1
downto 0);USER_EV_RD:in std_logic;USER_EV_RAM_BUSY:out std_logic;TR_EV_ADDR:in
std_logic_vector(EV_ASIZE-1 downto 0);TR_EV_RD:in std_logic;EV_EVFLAG:out
std_logic;EV_DONE:out std_logic;EV_ASSC_ADDR:out std_logic_vector(8 downto 0);
EV_ASSC_RD:out std_logic;ASSC_RAM_DO_A:in std_logic_vector(8 downto 0);
ASSC_RAM_WR_BUSY_B:in std_logic;EV_RAM_ADDR_A:out std_logic_vector(EV_ASIZE-1
downto 0);EV_RAM_RW_A:out std_logic;EV_RAM_CSN_A:out std_logic;EV_RAM_DI_A:out
std_logic_vector(8 downto 0);EV_RAM_ADDR_B:out std_logic_vector(EV_ASIZE-1
downto 0);EV_RAM_RW_B:out std_logic;EV_RAM_CSN_B:out std_logic;EV_RAM_DI_B:out
std_logic_vector(8 downto 0);EV_RAM_DO_B:in std_logic_vector(8 downto 0);
EV_RAM_WR_BUSY_B:out std_logic);end SMEV;architecture behv of SMEV is component
nbnjbfrkqwq generic(xpcbfxxdxkn:integer:=6;nktdnxxpfkw:integer:=1;
ggtrvzfhvzg:integer:=0;wqxrhkntcsq:integer:=0;fnkkfbknbsc:integer:=0;
cvjhxdkdhtp:integer:=1;tbfvnhctzpk:integer:=9;gzpxmwsppbw:integer:=5;
gqvfcjcxrbx:integer:=0);port(ncpstvtwqnj:in std_logic;rpfzgwrwdkg:in std_logic;
gcmhrkbppgk:in std_logic_vector(xpcbfxxdxkn-1 downto 0);jbwprskzkqd:in
std_logic;hjpffdxwpbw:in std_logic;ddpxkdxnzzm:in std_logic;cvgrnnhzfgx:in
std_logic_vector(4 downto 0);pmnnjbksbnp:out std_logic_vector(4 downto 0);
xmpjfckcwxc:in std_logic_vector(tbfvnhctzpk-1 downto 0);mxgpfwdmwkr:in
std_logic;kphbsmpswkm:in std_logic_vector(8 downto 0);hfzgjxkdrpz:in std_logic;
zxrxtxxbsks:in std_logic_vector(tbfvnhctzpk-1 downto 0);zhkvvfnsbjb:in
std_logic;ftjpqbkvjxw:out std_logic;mhxzjtkwkbd:in std_logic_vector(
tbfvnhctzpk-1 downto 0);rnzjdwddzmq:in std_logic;brfcnbqvhcm:out std_logic;
tbjwqrfwfrn:out std_logic;dsvtxghkjbb:out std_logic_vector(8 downto 0);
ddbbjvkdkcq:out std_logic;hvbjzpshknp:in std_logic_vector(8 downto 0);
mqmgjkmdztb:in std_logic;mkmkbnghgkd:out std_logic_vector(tbfvnhctzpk-1 downto
0);pfbntnkqtmt:out std_logic;zcjzqnkdqwb:out std_logic;rrjdhmvhdjx:out
std_logic_vector(8 downto 0);djdxztmwwqc:out std_logic_vector(tbfvnhctzpk-1
downto 0);zbcrjjxqjgg:out std_logic;kkjrqmngvjc:out std_logic;zbqggxmnpbs:out
std_logic_vector(8 downto 0);jrgzmrqhbkv:in std_logic_vector(8 downto 0);
frhjqwkkhgk:out std_logic);end component;begin u_nbnjbfrkqwq:nbnjbfrkqwq
generic map(xpcbfxxdxkn=>TS_WIDTH,nktdnxxpfkw=>ALLOW_USER_EV,
ggtrvzfhvzg=>ALLOW_DLPF,wqxrhkntcsq=>ALLOW_A_PLUS_B,
fnkkfbknbsc=>ALLOW_A_MINUS_B,cvjhxdkdhtp=>ALLOW_A_GT_B,tbfvnhctzpk=>EV_ASIZE,
gzpxmwsppbw=>ST_CNT_WIDTH,gqvfcjcxrbx=>USE_NON_G3)port map(ncpstvtwqnj=>CLK,
rpfzgwrwdkg=>NRESET,gcmhrkbppgk=>ASSC_SEQOUT,jbwprskzkqd=>ASSC_SEQCHANGE,
hjpffdxwpbw=>ASSC_DONE,ddpxkdxnzzm=>ASSC_SAMPFLAG,cvgrnnhzfgx=>ADC_CHNR,
pmnnjbksbnp=>EV_CHHOLD,xmpjfckcwxc=>INIT_ADDR,mxgpfwdmwkr=>INIT_EV_WR,
kphbsmpswkm=>INIT_DI,hfzgjxkdrpz=>INIT_DONE,zxrxtxxbsks=>USER_EV_ADDR,
zhkvvfnsbjb=>USER_EV_RD,ftjpqbkvjxw=>USER_EV_RAM_BUSY,mhxzjtkwkbd=>TR_EV_ADDR,
rnzjdwddzmq=>TR_EV_RD,brfcnbqvhcm=>EV_EVFLAG,tbjwqrfwfrn=>EV_DONE,
dsvtxghkjbb=>EV_ASSC_ADDR,ddbbjvkdkcq=>EV_ASSC_RD,hvbjzpshknp=>ASSC_RAM_DO_A,
mqmgjkmdztb=>ASSC_RAM_WR_BUSY_B,mkmkbnghgkd=>EV_RAM_ADDR_A,
pfbntnkqtmt=>EV_RAM_RW_A,zcjzqnkdqwb=>EV_RAM_CSN_A,rrjdhmvhdjx=>EV_RAM_DI_A,
djdxztmwwqc=>EV_RAM_ADDR_B,zbcrjjxqjgg=>EV_RAM_RW_B,kkjrqmngvjc=>EV_RAM_CSN_B,
zbqggxmnpbs=>EV_RAM_DI_B,jrgzmrqhbkv=>EV_RAM_DO_B,frhjqwkkhgk=>EV_RAM_WR_BUSY_B)
;end behv;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -