⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 initcfg.vhd

📁 Actel Fusion System Management Development Kit UART Example. Contains Libero design using CoreABC. P
💻 VHD
📖 第 1 页 / 共 5 页
字号:
kjjphtbkfqg<=swhpbbqmksv(((2*chwbfzxpgsp)- 1)downto 0);pvjbgnpcxjt:process(
zwwnjfvxqbs)variable qdzqcwvbcsf:std_logic_vector(hphqhdwdhmf-1 downto 0);
variable zggmmhpncrt:std_logic_vector(hphqhdwdhmf-1 downto 0);begin for i in 0
to chwbfzxpgsp-1 loop for j in 0 to hphqhdwdhmf-1 loop qdzqcwvbcsf(j)
:=zwwnjfvxqbs((i*hphqhdwdhmf)+j);end loop;zggmmhpncrt:=std_logic_vector(
unsigned(qdzqcwvbcsf)-1);for k in 0 to hphqhdwdhmf-1 loop xzbjbbswhjv((
i*hphqhdwdhmf)+k)<=zggmmhpncrt(k);end loop;end loop;end process pvjbgnpcxjt;
init_fsm:INITCFG_XA generic map(max_client=>chwbfzxpgsp,
max_client_bits=>mrffkbssmtq)port map(xaa=>cwgjgncbnhn,xab=>jczrqhzxwbx,
xac=>bfcqzvtnrcn,xad=>rrfkhdrdvfp,xae=>mhxwcfnvbgt,xaf=>dxpbcqjpxtw,
xag=>qrtjhvmdbbv,xah=>xbkjkgdsbfh,xai=>qdvwjxmzbpf,xaj=>stpmkrrjwdt,
xak=>kgvbwtrphfq,xal=>gpbbjwsprsj);save_fsm:INITCFG_XB generic map(
max_client=>chwbfzxpgsp,max_client_bits=>mrffkbssmtq)port map(xaa=>cwgjgncbnhn,
xab=>jczrqhzxwbx,xac=>vgjddmmbmst,xad=>rrfkhdrdvfp,xae=>mhxwcfnvbgt,
xaf=>tkkhgnnvvsh,xag=>cqbmghpczxt,xah=>vftfmjhpzdg,xai=>fhpsqkqvwpw,
xaj=>fnkxmjqxzjq,xak=>qxhrmgxhgcm,xal=>jspcghhzwmg,xam=>mfwjfgrqchd,
xan=>npgnwznthhx,xap=>vvpkfmmpprk,xao=>jfzrmzzzpqc);user_control:INITCFG_XC
generic map(max_client=>chwbfzxpgsp,max_client_bits=>mrffkbssmtq,
max_word_bit=>hphqhdwdhmf)port map(xaa=>vghvncnfbtm,xab=>zztcxbvdqbf,
xac=>stpmkrrjwdt,xad=>gpbbjwsprsj,xae=>jspcghhzwmg,xaf=>mfwjfgrqchd,
xag=>vvpkfmmpprk,xah=>bqhrfdzctjc,xai=>gjvdwbmczsq,xaj=>xzbjbbswhjv,
xak=>zkzvrcvzsss,xal=>dtkwvxrtxhs,xam=>kjjphtbkfqg,xan=>dxpbcqjpxtw,
xao=>qrtjhvmdbbv,xap=>fhpsqkqvwpw,xaq=>fnkxmjqxzjq,xar=>svmndgsjgbk,
xas=>fnhfvbwcztv,xat=>xmrktkhrbjs,xau=>jtqbnhqzjpb,xav=>rtvwdpxdfrx,
xaw=>khxnwkhpsmt,xax=>rxmzdkzkxqt,xay=>krpnwdxjbnz,xaz=>rjwmncbrxmf,
xba=>zftqchcdhzz,xbb=>vhhhfnxdxfn,xbc=>cvkpbrzsqjg,xbd=>dphtcncpfzs,
xbe=>mwggvbmbxvt,xbf=>hzfchvwbctz,xbg=>nctbfcgndfk,xbh=>hzdcqtzccpg,
xbi=>xdstghjkwpv,xbj=>vgjddmmbmst,xbk=>bfcqzvtnrcn,xbl=>qdvwjxmzbpf,
xbm=>tgvzzszhxpp,xbn=>sjxknpxqkdf,xbo=>tjscstqbrfz,xbp=>wqjtjntdnzq,
xbq=>qmwpbsgksmg,xbr=>wpbnhnqqbjw,xbs=>rvnpkgprxqd,xbt=>dnkzhbcbdnc,
xbu=>qmbdtfbjrnq,xbv=>stzfnwczmqq,xbw=>bmgcjjksdfg,xbx=>hmzstjjfnpv,
xby=>skmgcfgkzzg,xbz=>zzhcgkjrbbq,xca=>rfsfbmcsscc,xcb=>wsjwsgjppvr,
xcc=>nsvkrxrgbbh,xcd=>gzkxdscvrzh,xce=>mmsccbknsvn,xcf=>vftfmjhpzdg,
xcg=>ggsxvgncrgz,xch=>csjnbkhhqmn,xci=>cqbmghpczxt,xcj=>bdhvhfjwtxd,
xck=>fpxrzftbphr,xcl=>qdgqbvgcnzn,xcm=>wtjtwqjkqbd);address_gen:INITCFG_XD
generic map(max_word_bit=>hphqhdwdhmf)port map(xaa=>cwgjgncbnhn,
xab=>jczrqhzxwbx,xac=>qdgqbvgcnzn,xad=>wtjtwqjkqbd,xae=>mmsccbknsvn,
xaf=>bdhvhfjwtxd,xag=>ggsxvgncrgz,xah=>vgjddmmbmst,xai=>csjnbkhhqmn,
xaj=>bqhrfdzctjc,xak=>tvjbfnvcjtv,xal=>mhxwcfnvbgt,xam=>tkkhgnnvvsh);
valid_client:INITCFG_XE generic map(max_client=>chwbfzxpgsp,
max_client_bits=>mrffkbssmtq)port map(xaa=>rrfkhdrdvfp,xab=>xbkjkgdsbfh,
xac=>npgnwznthhx,xad=>gpbbjwsprsj,xae=>vvpkfmmpprk,xaf=>rdvxgwttbsd);
user_clk_sel:INITCFG_XF port map(xaa=>cwgjgncbnhn,xab=>cxnrnfthhhz,
xac=>jczrqhzxwbx,xad=>qxhrmgxhgcm,xae=>kgvbwtrphfq,xaf=>ntppckjcwxd,
xag=>wbvcfkfdgww,xah=>jfzrmzzzpqc,xai=>qdvwjxmzbpf,xaj=>qpkbfhrprrz,
xak=>kmvftnkfswg,xal=>ftwvqzdkwhp,xam=>bfcqzvtnrcn,xan=>vgjddmmbmst);end
mjtkskcsjhk;library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;
entity INITCFG is generic(MAX_WORD_BIT:integer:=8;MAX_CLIENT:integer:=2;
MAX_CLIENT_BITS:integer:=1;BASE_ADD_1:integer:=0;WORD_COUNT_1:integer:=0;
INIT_SAVE_1:integer:=0;SPARE_PAGE_1:integer:=0;WIDTH_1:integer:=0;
BASE_ADD_2:integer:=0;WORD_COUNT_2:integer:=0;INIT_SAVE_2:integer:=0;
SPARE_PAGE_2:integer:=0;WIDTH_2:integer:=0;BASE_ADD_3:integer:=0;
WORD_COUNT_3:integer:=0;INIT_SAVE_3:integer:=0;SPARE_PAGE_3:integer:=0;
WIDTH_3:integer:=0;BASE_ADD_4:integer:=0;WORD_COUNT_4:integer:=0;
INIT_SAVE_4:integer:=0;SPARE_PAGE_4:integer:=0;WIDTH_4:integer:=0;
BASE_ADD_5:integer:=0;WORD_COUNT_5:integer:=0;INIT_SAVE_5:integer:=0;
SPARE_PAGE_5:integer:=0;WIDTH_5:integer:=0;BASE_ADD_6:integer:=0;
WORD_COUNT_6:integer:=0;INIT_SAVE_6:integer:=0;SPARE_PAGE_6:integer:=0;
WIDTH_6:integer:=0;BASE_ADD_7:integer:=0;WORD_COUNT_7:integer:=0;
INIT_SAVE_7:integer:=0;SPARE_PAGE_7:integer:=0;WIDTH_7:integer:=0;
BASE_ADD_8:integer:=0;WORD_COUNT_8:integer:=0;INIT_SAVE_8:integer:=0;
SPARE_PAGE_8:integer:=0;WIDTH_8:integer:=0;BASE_ADD_9:integer:=0;
WORD_COUNT_9:integer:=0;INIT_SAVE_9:integer:=0;SPARE_PAGE_9:integer:=0;
WIDTH_9:integer:=0;BASE_ADD_10:integer:=0;WORD_COUNT_10:integer:=0;
INIT_SAVE_10:integer:=0;SPARE_PAGE_10:integer:=0;WIDTH_10:integer:=0;
BASE_ADD_11:integer:=0;WORD_COUNT_11:integer:=0;INIT_SAVE_11:integer:=0;
SPARE_PAGE_11:integer:=0;WIDTH_11:integer:=0;BASE_ADD_12:integer:=0;
WORD_COUNT_12:integer:=0;INIT_SAVE_12:integer:=0;SPARE_PAGE_12:integer:=0;
WIDTH_12:integer:=0;BASE_ADD_13:integer:=0;WORD_COUNT_13:integer:=0;
INIT_SAVE_13:integer:=0;SPARE_PAGE_13:integer:=0;WIDTH_13:integer:=0;
BASE_ADD_14:integer:=0;WORD_COUNT_14:integer:=0;INIT_SAVE_14:integer:=0;
SPARE_PAGE_14:integer:=0;WIDTH_14:integer:=0;BASE_ADD_15:integer:=0;
WORD_COUNT_15:integer:=0;INIT_SAVE_15:integer:=0;SPARE_PAGE_15:integer:=0;
WIDTH_15:integer:=0;BASE_ADD_16:integer:=0;WORD_COUNT_16:integer:=0;
INIT_SAVE_16:integer:=0;SPARE_PAGE_16:integer:=0;WIDTH_16:integer:=0;
BASE_ADD_17:integer:=0;WORD_COUNT_17:integer:=0;INIT_SAVE_17:integer:=0;
SPARE_PAGE_17:integer:=0;WIDTH_17:integer:=0;BASE_ADD_18:integer:=0;
WORD_COUNT_18:integer:=0;INIT_SAVE_18:integer:=0;SPARE_PAGE_18:integer:=0;
WIDTH_18:integer:=0;BASE_ADD_19:integer:=0;WORD_COUNT_19:integer:=0;
INIT_SAVE_19:integer:=0;SPARE_PAGE_19:integer:=0;WIDTH_19:integer:=0;
BASE_ADD_20:integer:=0;WORD_COUNT_20:integer:=0;INIT_SAVE_20:integer:=0;
SPARE_PAGE_20:integer:=0;WIDTH_20:integer:=0;BASE_ADD_21:integer:=0;
WORD_COUNT_21:integer:=0;INIT_SAVE_21:integer:=0;SPARE_PAGE_21:integer:=0;
WIDTH_21:integer:=0;BASE_ADD_22:integer:=0;WORD_COUNT_22:integer:=0;
INIT_SAVE_22:integer:=0;SPARE_PAGE_22:integer:=0;WIDTH_22:integer:=0;
BASE_ADD_23:integer:=0;WORD_COUNT_23:integer:=0;INIT_SAVE_23:integer:=0;
SPARE_PAGE_23:integer:=0;WIDTH_23:integer:=0;BASE_ADD_24:integer:=0;
WORD_COUNT_24:integer:=0;INIT_SAVE_24:integer:=0;SPARE_PAGE_24:integer:=0;
WIDTH_24:integer:=0;BASE_ADD_25:integer:=0;WORD_COUNT_25:integer:=0;
INIT_SAVE_25:integer:=0;SPARE_PAGE_25:integer:=0;WIDTH_25:integer:=0;
BASE_ADD_26:integer:=0;WORD_COUNT_26:integer:=0;INIT_SAVE_26:integer:=0;
SPARE_PAGE_26:integer:=0;WIDTH_26:integer:=0;BASE_ADD_27:integer:=0;
WORD_COUNT_27:integer:=0;INIT_SAVE_27:integer:=0;SPARE_PAGE_27:integer:=0;
WIDTH_27:integer:=0;BASE_ADD_28:integer:=0;WORD_COUNT_28:integer:=0;
INIT_SAVE_28:integer:=0;SPARE_PAGE_28:integer:=0;WIDTH_28:integer:=0;
BASE_ADD_29:integer:=0;WORD_COUNT_29:integer:=0;INIT_SAVE_29:integer:=0;
SPARE_PAGE_29:integer:=0;WIDTH_29:integer:=0;BASE_ADD_30:integer:=0;
WORD_COUNT_30:integer:=0;INIT_SAVE_30:integer:=0;SPARE_PAGE_30:integer:=0;
WIDTH_30:integer:=0;BASE_ADD_31:integer:=0;WORD_COUNT_31:integer:=0;
INIT_SAVE_31:integer:=0;SPARE_PAGE_31:integer:=0;WIDTH_31:integer:=0;
BASE_ADD_32:integer:=0;WORD_COUNT_32:integer:=0;INIT_SAVE_32:integer:=0;
SPARE_PAGE_32:integer:=0;WIDTH_32:integer:=0;BASE_ADD_33:integer:=0;
WORD_COUNT_33:integer:=0;INIT_SAVE_33:integer:=0;SPARE_PAGE_33:integer:=0;
WIDTH_33:integer:=0;BASE_ADD_34:integer:=0;WORD_COUNT_34:integer:=0;
INIT_SAVE_34:integer:=0;SPARE_PAGE_34:integer:=0;WIDTH_34:integer:=0;
BASE_ADD_35:integer:=0;WORD_COUNT_35:integer:=0;INIT_SAVE_35:integer:=0;
SPARE_PAGE_35:integer:=0;WIDTH_35:integer:=0;BASE_ADD_36:integer:=0;
WORD_COUNT_36:integer:=0;INIT_SAVE_36:integer:=0;SPARE_PAGE_36:integer:=0;
WIDTH_36:integer:=0;BASE_ADD_37:integer:=0;WORD_COUNT_37:integer:=0;
INIT_SAVE_37:integer:=0;SPARE_PAGE_37:integer:=0;WIDTH_37:integer:=0;
BASE_ADD_38:integer:=0;WORD_COUNT_38:integer:=0;INIT_SAVE_38:integer:=0;
SPARE_PAGE_38:integer:=0;WIDTH_38:integer:=0;BASE_ADD_39:integer:=0;
WORD_COUNT_39:integer:=0;INIT_SAVE_39:integer:=0;SPARE_PAGE_39:integer:=0;
WIDTH_39:integer:=0;BASE_ADD_40:integer:=0;WORD_COUNT_40:integer:=0;
INIT_SAVE_40:integer:=0;SPARE_PAGE_40:integer:=0;WIDTH_40:integer:=0;
BASE_ADD_41:integer:=0;WORD_COUNT_41:integer:=0;INIT_SAVE_41:integer:=0;
SPARE_PAGE_41:integer:=0;WIDTH_41:integer:=0;BASE_ADD_42:integer:=0;
WORD_COUNT_42:integer:=0;INIT_SAVE_42:integer:=0;SPARE_PAGE_42:integer:=0;
WIDTH_42:integer:=0;BASE_ADD_43:integer:=0;WORD_COUNT_43:integer:=0;
INIT_SAVE_43:integer:=0;SPARE_PAGE_43:integer:=0;WIDTH_43:integer:=0;
BASE_ADD_44:integer:=0;WORD_COUNT_44:integer:=0;INIT_SAVE_44:integer:=0;
SPARE_PAGE_44:integer:=0;WIDTH_44:integer:=0;BASE_ADD_45:integer:=0;
WORD_COUNT_45:integer:=0;INIT_SAVE_45:integer:=0;SPARE_PAGE_45:integer:=0;
WIDTH_45:integer:=0;BASE_ADD_46:integer:=0;WORD_COUNT_46:integer:=0;
INIT_SAVE_46:integer:=0;SPARE_PAGE_46:integer:=0;WIDTH_46:integer:=0;
BASE_ADD_47:integer:=0;WORD_COUNT_47:integer:=0;INIT_SAVE_47:integer:=0;
SPARE_PAGE_47:integer:=0;WIDTH_47:integer:=0;BASE_ADD_48:integer:=0;
WORD_COUNT_48:integer:=0;INIT_SAVE_48:integer:=0;SPARE_PAGE_48:integer:=0;
WIDTH_48:integer:=0;BASE_ADD_49:integer:=0;WORD_COUNT_49:integer:=0;
INIT_SAVE_49:integer:=0;SPARE_PAGE_49:integer:=0;WIDTH_49:integer:=0;
BASE_ADD_50:integer:=0;WORD_COUNT_50:integer:=0;INIT_SAVE_50:integer:=0;
SPARE_PAGE_50:integer:=0;WIDTH_50:integer:=0;BASE_ADD_51:integer:=0;
WORD_COUNT_51:integer:=0;INIT_SAVE_51:integer:=0;SPARE_PAGE_51:integer:=0;
WIDTH_51:integer:=0;BASE_ADD_52:integer:=0;WORD_COUNT_52:integer:=0;
INIT_SAVE_52:integer:=0;SPARE_PAGE_52:integer:=0;WIDTH_52:integer:=0;
BASE_ADD_53:integer:=0;WORD_COUNT_53:integer:=0;INIT_SAVE_53:integer:=0;
SPARE_PAGE_53:integer:=0;WIDTH_53:integer:=0;BASE_ADD_54:integer:=0;
WORD_COUNT_54:integer:=0;INIT_SAVE_54:integer:=0;SPARE_PAGE_54:integer:=0;
WIDTH_54:integer:=0;BASE_ADD_55:integer:=0;WORD_COUNT_55:integer:=0;
INIT_SAVE_55:integer:=0;SPARE_PAGE_55:integer:=0;WIDTH_55:integer:=0;
BASE_ADD_56:integer:=0;WORD_COUNT_56:integer:=0;INIT_SAVE_56:integer:=0;
SPARE_PAGE_56:integer:=0;WIDTH_56:integer:=0;BASE_ADD_57:integer:=0;
WORD_COUNT_57:integer:=0;INIT_SAVE_57:integer:=0;SPARE_PAGE_57:integer:=0;
WIDTH_57:integer:=0;BASE_ADD_58:integer:=0;WORD_COUNT_58:integer:=0;
INIT_SAVE_58:integer:=0;SPARE_PAGE_58:integer:=0;WIDTH_58:integer:=0;
BASE_ADD_59:integer:=0;WORD_COUNT_59:integer:=0;INIT_SAVE_59:integer:=0;
SPARE_PAGE_59:integer:=0;WIDTH_59:integer:=0;BASE_ADD_60:integer:=0;
WORD_COUNT_60:integer:=0;INIT_SAVE_60:integer:=0;SPARE_PAGE_60:integer:=0;
WIDTH_60:integer:=0;BASE_ADD_61:integer:=0;WORD_COUNT_61:integer:=0;
INIT_SAVE_61:integer:=0;SPARE_PAGE_61:integer:=0;WIDTH_61:integer:=0;
BASE_ADD_62:integer:=0;WORD_COUNT_62:integer:=0;INIT_SAVE_62:integer:=0;
SPARE_PAGE_62:integer:=0;WIDTH_62:integer:=0;BASE_ADD_63:integer:=0;
WORD_COUNT_63:integer:=0;INIT_SAVE_63:integer:=0;SPARE_PAGE_63:integer:=0;
WIDTH_63:integer:=0;BASE_ADD_64:integer:=0;WORD_COUNT_64:integer:=0;
INIT_SAVE_64:integer:=0;SPARE_PAGE_64:integer:=0;WIDTH_64:integer:=0);port(
CLK:in std_logic;USER_CLK:in std_logic;NRESET:in std_logic;CLIENT_DIN:in
std_logic_vector(((9*MAX_CLIENT)-1)downto 0);CLIENT_AVAIL:in std_logic_vector(
MAX_CLIENT-1 downto 0);USER_ADD:in std_logic_vector(17 downto 0);
USER_AUX_BLOCK:in std_logic;USER_DATA:in std_logic_vector(31 downto 0);
USER_DISCARD_PAGE:in std_logic;USER_ERASE_PAGE:in std_logic;
USER_OVERWRITE_PAGE:in std_logic;USER_OVERWRITE_PROT:in std_logic;
USER_PAGE_STATUS:in std_logic;USER_PAGELOSS_PROT:in std_logic;USER_PROGRAM:in
std_logic;USER_READ:in std_logic;USER_READ_NEXT:in std_logic;USER_LOCK:in
std_logic;USER_SPARE_PAGE:in std_logic;USER_UNPROT_PAGE:in std_logic;
USER_WIDTH:in std_logic_vector(1 downto 0);USER_WRITE:in std_logic;
USER_SIX_CYCLE:in std_logic;CLIENT_UPDATE:in std_logic;POWER_UP:in std_logic;
NVM_BUSY:in std_logic;NVM_DAT_FROM:in std_logic_vector(31 downto 0);
NVM_STATUS:in std_logic_vector(1 downto 0);NVM_ADD:out std_logic_vector(17
downto 0);NVM_AUX_BLOCK:out std_logic;NVM_DAT_TO:out std_logic_vector(31 downto
0);NVM_DISCARD_PAGE:out std_logic;NVM_ERASE_PAGE:out std_logic;
NVM_OVERWRITE_PAGE:out std_logic;NVM_OVERWRITE_PROT:out std_logic;
NVM_PAGE_STATUS:out std_logic;NVM_PAGELOSS_PROT:out std_logic;NVM_PROGRAM:out
std_logic;NVM_READ:out std_logic;NVM_READ_NEXT:out std_logic;NVM_LOCK:out
std_logic;NVM_SPARE_PAGE:out std_logic;NVM_UNPROT_PAGE:out std_logic;
NVM_WIDTH:out std_logic_vector(1 downto 0);NVM_WRITE:out std_logic;
NVM_SIX_CYCLE:out std_logic;WORD_COUNT:out std_logic_vector(MAX_WORD_BIT-1
downto 0);CLIENT_DOUT:out std_logic_vector(8 downto 0);CLIENT_DAT_VAL:out
std_logic_vector(MAX_CLIENT-1 downto 0);SAVE_COMPLETE:out std_logic;
INIT_DONE:out std_logic;USER_DOUT:out std_logic_vector(31 downto 0);

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -