⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 initcfg.vhd

📁 Actel Fusion System Management Development Kit UART Example. Contains Libero design using CoreABC. P
💻 VHD
📖 第 1 页 / 共 5 页
字号:
xah:in std_logic;xai:in std_logic;xaj:out std_logic_vector(17 downto 0);xak:out
std_logic_vector(MAX_WORD_BIT-1 downto 0);xal:out std_logic;xam:out std_logic);
end component;component INITCFG_XE generic(MAX_CLIENT:integer:=4;
MAX_CLIENT_BITS:integer:=2);port(xaa:in std_logic;xab:in std_logic;xac:in
std_logic;xad:in std_logic_vector(MAX_CLIENT_BITS-1 downto 0);xae:in
std_logic_vector(MAX_CLIENT_BITS-1 downto 0);xaf:out std_logic_vector(
MAX_CLIENT-1 downto 0));end component;component INITCFG_XF port(xaa:in
std_logic;xab:in std_logic;xac:in std_logic;xad:in std_logic;xae:in std_logic;
xaf:in std_logic;xag:in std_logic;xah:in std_logic;xai:in std_logic;xaj:out
std_logic;xak:out std_logic;xal:out std_logic;xam:out std_logic;xan:out
std_logic);end component;signal xzbjbbswhjv:std_logic_vector(((
hphqhdwdhmf*chwbfzxpgsp)-1)downto 0);signal qdvwjxmzbpf:std_logic;signal
stpmkrrjwdt:std_logic;signal gpbbjwsprsj:std_logic_vector(mrffkbssmtq-1 downto
0);signal qxhrmgxhgcm:std_logic;signal kgvbwtrphfq:std_logic;signal
jspcghhzwmg:std_logic;signal mfwjfgrqchd:std_logic;signal
vvpkfmmpprk:std_logic_vector(mrffkbssmtq-1 downto 0);signal
bqhrfdzctjc:std_logic_vector(17 downto 0);signal dxpbcqjpxtw:std_logic;signal
qrtjhvmdbbv:std_logic;signal qdgqbvgcnzn:std_logic;signal wtjtwqjkqbd:std_logic;
signal fhpsqkqvwpw:std_logic;signal fnkxmjqxzjq:std_logic;signal
mmsccbknsvn:std_logic_vector(17 downto 0);signal vftfmjhpzdg:std_logic;signal
csjnbkhhqmn:std_logic;signal bdhvhfjwtxd:std_logic_vector(hphqhdwdhmf-1 downto
0);signal mhxwcfnvbgt:std_logic;signal cqbmghpczxt:std_logic;signal
fpxrzftbphr:std_logic;signal tkkhgnnvvsh:std_logic;signal
ggsxvgncrgz:std_logic_vector(1 downto 0);signal xbkjkgdsbfh:std_logic;signal
npgnwznthhx:std_logic;signal bfcqzvtnrcn:std_logic;signal vgjddmmbmst:std_logic;
signal jfzrmzzzpqc:std_logic;signal gjvdwbmczsq:std_logic_vector(chwbfzxpgsp-1
downto 0);signal zwwnjfvxqbs:std_logic_vector(((hphqhdwdhmf*chwbfzxpgsp)-1)
downto 0);signal zkzvrcvzsss:std_logic_vector(((18*chwbfzxpgsp)-1)downto 0);
signal dtkwvxrtxhs:std_logic_vector(chwbfzxpgsp-1 downto 0);signal
kjjphtbkfqg:std_logic_vector(((2*chwbfzxpgsp)-1)downto 0);signal
mvttbcdzprk:std_logic_vector(63 downto 0);signal wszzfrnkchm:std_logic_vector(((
hphqhdwdhmf*64)-1)downto 0);signal wxztcdwxpcc:std_logic_vector(((18*64)-1)
downto 0);signal jtgxdjzdfmb:std_logic_vector(63 downto 0);signal
swhpbbqmksv:std_logic_vector(127 downto 0);begin wxztcdwxpcc(17 downto 0)
<=std_logic_vector(to_unsigned(qwsfndhqmkm,18));wxztcdwxpcc(35 downto 18)
<=std_logic_vector(to_unsigned(bwstmtzrgsf,18));wxztcdwxpcc(53 downto 36)
<=std_logic_vector(to_unsigned(jhgkdhvwcfb,18));wxztcdwxpcc(71 downto 54)
<=std_logic_vector(to_unsigned(frfwqrrdddg,18));wxztcdwxpcc(89 downto 72)
<=std_logic_vector(to_unsigned(tfbkdzcghbt,18));wxztcdwxpcc(107 downto 90)
<=std_logic_vector(to_unsigned(vrnqqtvpznx,18));wxztcdwxpcc(125 downto 108)
<=std_logic_vector(to_unsigned(vnfbfdkcmct,18));wxztcdwxpcc(143 downto 126)
<=std_logic_vector(to_unsigned(srpkvvkkrzt,18));wxztcdwxpcc(161 downto 144)
<=std_logic_vector(to_unsigned(sthncdwvjtw,18));wxztcdwxpcc(179 downto 162)
<=std_logic_vector(to_unsigned(bnkjcrhcdfs,18));wxztcdwxpcc(197 downto 180)
<=std_logic_vector(to_unsigned(czrwqbddqjn,18));wxztcdwxpcc(215 downto 198)
<=std_logic_vector(to_unsigned(hprgsrzhrfm,18));wxztcdwxpcc(233 downto 216)
<=std_logic_vector(to_unsigned(rwbpgntsknz,18));wxztcdwxpcc(251 downto 234)
<=std_logic_vector(to_unsigned(hrjrtbfwxrz,18));wxztcdwxpcc(269 downto 252)
<=std_logic_vector(to_unsigned(msnmxsssvws,18));wxztcdwxpcc(287 downto 270)
<=std_logic_vector(to_unsigned(smgkxmdgnww,18));wxztcdwxpcc(305 downto 288)
<=std_logic_vector(to_unsigned(pxxzhqhrxbb,18));wxztcdwxpcc(323 downto 306)
<=std_logic_vector(to_unsigned(mknhpztjddj,18));wxztcdwxpcc(341 downto 324)
<=std_logic_vector(to_unsigned(wxhvvzpspmh,18));wxztcdwxpcc(359 downto 342)
<=std_logic_vector(to_unsigned(bchrncjjwsv,18));wxztcdwxpcc(377 downto 360)
<=std_logic_vector(to_unsigned(tpmcsxpqzbn,18));wxztcdwxpcc(395 downto 378)
<=std_logic_vector(to_unsigned(mrzvztzvgqn,18));wxztcdwxpcc(413 downto 396)
<=std_logic_vector(to_unsigned(fnqrcfmrdzn,18));wxztcdwxpcc(431 downto 414)
<=std_logic_vector(to_unsigned(ktvcqzbfwsd,18));wxztcdwxpcc(449 downto 432)
<=std_logic_vector(to_unsigned(ctjqbtwmmbb,18));wxztcdwxpcc(467 downto 450)
<=std_logic_vector(to_unsigned(cbtwsxgjskh,18));wxztcdwxpcc(485 downto 468)
<=std_logic_vector(to_unsigned(mkjxqvbtvtn,18));wxztcdwxpcc(503 downto 486)
<=std_logic_vector(to_unsigned(mbgtkvbrhxm,18));wxztcdwxpcc(521 downto 504)
<=std_logic_vector(to_unsigned(xxknhppdddk,18));wxztcdwxpcc(539 downto 522)
<=std_logic_vector(to_unsigned(xvqkxksqbzs,18));wxztcdwxpcc(557 downto 540)
<=std_logic_vector(to_unsigned(cfrvfjhrjxr,18));wxztcdwxpcc(575 downto 558)
<=std_logic_vector(to_unsigned(npbhhrxjpdc,18));wxztcdwxpcc(593 downto 576)
<=std_logic_vector(to_unsigned(qxzhkvfczgs,18));wxztcdwxpcc(611 downto 594)
<=std_logic_vector(to_unsigned(jpkqqjqjfhz,18));wxztcdwxpcc(629 downto 612)
<=std_logic_vector(to_unsigned(jhbxdvvbnnb,18));wxztcdwxpcc(647 downto 630)
<=std_logic_vector(to_unsigned(cjfddvjjcrk,18));wxztcdwxpcc(665 downto 648)
<=std_logic_vector(to_unsigned(wjprktmcfrc,18));wxztcdwxpcc(683 downto 666)
<=std_logic_vector(to_unsigned(jwtbmzgtdxd,18));wxztcdwxpcc(701 downto 684)
<=std_logic_vector(to_unsigned(wdqsgpsfckn,18));wxztcdwxpcc(719 downto 702)
<=std_logic_vector(to_unsigned(wbkmrnhzbwh,18));wxztcdwxpcc(737 downto 720)
<=std_logic_vector(to_unsigned(qwnqnmnzxtp,18));wxztcdwxpcc(755 downto 738)
<=std_logic_vector(to_unsigned(pvvgznjbgvv,18));wxztcdwxpcc(773 downto 756)
<=std_logic_vector(to_unsigned(mtvfjrkgpkz,18));wxztcdwxpcc(791 downto 774)
<=std_logic_vector(to_unsigned(gfkkqgcvxgt,18));wxztcdwxpcc(809 downto 792)
<=std_logic_vector(to_unsigned(zrqfmxthkrn,18));wxztcdwxpcc(827 downto 810)
<=std_logic_vector(to_unsigned(rdmwdhdwvmt,18));wxztcdwxpcc(845 downto 828)
<=std_logic_vector(to_unsigned(dcscbsphcww,18));wxztcdwxpcc(863 downto 846)
<=std_logic_vector(to_unsigned(tgpfsdgnhzh,18));wxztcdwxpcc(881 downto 864)
<=std_logic_vector(to_unsigned(sjvqmrntfnc,18));wxztcdwxpcc(899 downto 882)
<=std_logic_vector(to_unsigned(jmcsvjgjhtp,18));wxztcdwxpcc(917 downto 900)
<=std_logic_vector(to_unsigned(wpncbvgjqvj,18));wxztcdwxpcc(935 downto 918)
<=std_logic_vector(to_unsigned(xvnnrwbxjnz,18));wxztcdwxpcc(953 downto 936)
<=std_logic_vector(to_unsigned(qkzzbkzfctn,18));wxztcdwxpcc(971 downto 954)
<=std_logic_vector(to_unsigned(npmbxmcbvmv,18));wxztcdwxpcc(989 downto 972)
<=std_logic_vector(to_unsigned(vkhssjnrmbv,18));wxztcdwxpcc(1007 downto 990)
<=std_logic_vector(to_unsigned(gqhtjfvtdrd,18));wxztcdwxpcc(1025 downto 1008)
<=std_logic_vector(to_unsigned(fbqjzcmsdbj,18));wxztcdwxpcc(1043 downto 1026)
<=std_logic_vector(to_unsigned(tcxtqrvhfgb,18));wxztcdwxpcc(1061 downto 1044)
<=std_logic_vector(to_unsigned(mwgcfmfjbqv,18));wxztcdwxpcc(1079 downto 1062)
<=std_logic_vector(to_unsigned(zfsjfzxnqxj,18));wxztcdwxpcc(1097 downto 1080)
<=std_logic_vector(to_unsigned(pnkjqzszqxs,18));wxztcdwxpcc(1115 downto 1098)
<=std_logic_vector(to_unsigned(xvfsnktdkbj,18));wxztcdwxpcc(1133 downto 1116)
<=std_logic_vector(to_unsigned(drgfftfkfjj,18));wxztcdwxpcc(1151 downto 1134)
<=std_logic_vector(to_unsigned(jjcsmbdpsjx,18));wszzfrnkchm(0*hphqhdwdhmf +(
hphqhdwdhmf- 1)downto(0*hphqhdwdhmf))<=std_logic_vector(to_unsigned(mnzfvzggbgp,
hphqhdwdhmf));wszzfrnkchm(1*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(1*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(krvfnxvdczw,hphqhdwdhmf));wszzfrnkchm(
2*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(2*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(htwtmbfrnfz,hphqhdwdhmf));wszzfrnkchm(3*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(3*hphqhdwdhmf))<=std_logic_vector(to_unsigned(xkvjnpdmkkm,hphqhdwdhmf))
;wszzfrnkchm(4*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(4*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(zzbncfwtcjb,hphqhdwdhmf));wszzfrnkchm(
5*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(5*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(czxqdxtxdcd,hphqhdwdhmf));wszzfrnkchm(6*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(6*hphqhdwdhmf))<=std_logic_vector(to_unsigned(vxjhvxtcdqc,hphqhdwdhmf))
;wszzfrnkchm(7*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(7*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(fgkrxwdxwtc,hphqhdwdhmf));wszzfrnkchm(
8*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(8*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(tsqgqxvmnhz,hphqhdwdhmf));wszzfrnkchm(9*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(9*hphqhdwdhmf))<=std_logic_vector(to_unsigned(wmmrphpmvnv,hphqhdwdhmf))
;wszzfrnkchm(10*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(10*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(nczxtwvzskw,hphqhdwdhmf));wszzfrnkchm(
11*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(11*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(wvxsdzmgnjr,hphqhdwdhmf));wszzfrnkchm(12*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(12*hphqhdwdhmf))<=std_logic_vector(to_unsigned(rtnjmbcbzsp,hphqhdwdhmf)
);wszzfrnkchm(13*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(13*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(ntxtvbcmgpz,hphqhdwdhmf));wszzfrnkchm(
14*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(14*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(dmvxrrpgczz,hphqhdwdhmf));wszzfrnkchm(15*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(15*hphqhdwdhmf))<=std_logic_vector(to_unsigned(bwqtbcpddzs,hphqhdwdhmf)
);wszzfrnkchm(16*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(16*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(dqwtkvpgwnq,hphqhdwdhmf));wszzfrnkchm(
17*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(17*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(xqfppbdhtsk,hphqhdwdhmf));wszzfrnkchm(18*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(18*hphqhdwdhmf))<=std_logic_vector(to_unsigned(kknpckfzwtf,hphqhdwdhmf)
);wszzfrnkchm(19*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(19*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(bfbnckxtmdb,hphqhdwdhmf));wszzfrnkchm(
20*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(20*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(grvkswpvkwq,hphqhdwdhmf));wszzfrnkchm(21*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(21*hphqhdwdhmf))<=std_logic_vector(to_unsigned(wtfkktxvfmx,hphqhdwdhmf)
);wszzfrnkchm(22*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(22*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(vgvrpwwvdss,hphqhdwdhmf));wszzfrnkchm(
23*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(23*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(bzvfztsfwmw,hphqhdwdhmf));wszzfrnkchm(24*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(24*hphqhdwdhmf))<=std_logic_vector(to_unsigned(rzgvvbpxdbj,hphqhdwdhmf)
);wszzfrnkchm(25*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(25*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(rdmjjdgbpqc,hphqhdwdhmf));wszzfrnkchm(
26*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(26*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(kjcscgxkwms,hphqhdwdhmf));wszzfrnkchm(27*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(27*hphqhdwdhmf))<=std_logic_vector(to_unsigned(rvkcdcqhsmr,hphqhdwdhmf)
);wszzfrnkchm(28*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(28*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(jhrvrddtrhs,hphqhdwdhmf));wszzfrnkchm(
29*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(29*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(vckqgxjzgxk,hphqhdwdhmf));wszzfrnkchm(30*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(30*hphqhdwdhmf))<=std_logic_vector(to_unsigned(rjjhwrzttkk,hphqhdwdhmf)
);wszzfrnkchm(31*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(31*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(pbvqszcggcs,hphqhdwdhmf));wszzfrnkchm(
32*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(32*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(ctgcjxmqzdm,hphqhdwdhmf));wszzfrnkchm(33*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(33*hphqhdwdhmf))<=std_logic_vector(to_unsigned(kkkhfcjdbdx,hphqhdwdhmf)
);wszzfrnkchm(34*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(34*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(bffwzxzdhtp,hphqhdwdhmf));wszzfrnkchm(
35*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(35*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(fcsqdfbtzrw,hphqhdwdhmf));wszzfrnkchm(36*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(36*hphqhdwdhmf))<=std_logic_vector(to_unsigned(rxgmdrkrhpz,hphqhdwdhmf)
);wszzfrnkchm(37*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(37*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(tcrrgtgxkdf,hphqhdwdhmf));wszzfrnkchm(
38*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(38*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(zmfhggxffbp,hphqhdwdhmf));wszzfrnkchm(39*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(39*hphqhdwdhmf))<=std_logic_vector(to_unsigned(hckcjhwbbms,hphqhdwdhmf)
);wszzfrnkchm(40*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(40*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(mprmnhgdpgn,hphqhdwdhmf));wszzfrnkchm(
41*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(41*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(fvvsxrbrzcj,hphqhdwdhmf));wszzfrnkchm(42*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(42*hphqhdwdhmf))<=std_logic_vector(to_unsigned(ckcrrjvkqmn,hphqhdwdhmf)
);wszzfrnkchm(43*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(43*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(jqtwfdtrgqb,hphqhdwdhmf));wszzfrnkchm(
44*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(44*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(zfhfvcpxtmr,hphqhdwdhmf));wszzfrnkchm(45*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(45*hphqhdwdhmf))<=std_logic_vector(to_unsigned(btntkxgjtzj,hphqhdwdhmf)
);wszzfrnkchm(46*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(46*hphqhdwdhmf))
<=std_logic_vector(to_unsigned(qvvzbvmkbnk,hphqhdwdhmf));wszzfrnkchm(
47*hphqhdwdhmf +(hphqhdwdhmf- 1)downto(47*hphqhdwdhmf))<=std_logic_vector(
to_unsigned(hgkfjktpqhh,hphqhdwdhmf));wszzfrnkchm(48*hphqhdwdhmf +(hphqhdwdhmf-
1)downto(48*hphqhdwdhmf))<=std_logic_vector(to_unsigned(mmphfgtfbts,hphqhdwdhmf)

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -