⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 ddspm.tf

📁 比较先进的直接数字频率合成器的设计。可用于频率的合成。
💻 TF
字号:
/*******************************************************************************
 ****************************************************************************
 **                                                                             
 **                                                                             
 ** Project Name         : DDS                                            
 **                                                                             
 ** Author               : Daniel J. Morelli
 ** Creation Date        : 04/02/96 21:21:48                                              
 ** Version Number       : 1.0                                                 
 **                                                                             
 ** Revision History     :                                                      
 **                                                                             
 ** Date          Initials         Modification                                 
 **                                                                             
 **                                                                             
 ** Description          :                                                      
 **                                                                             
 ** This test bench test the phase accumulator
 ** 
 **                                                                             
 *******************************************************************************/
// Verilog Stimulus Data from SCS Waveform Editor v 2.8
// File: dds.tf - 3/11/96 10:56:32 PM

`timescale 1 ns / 1 ns

// Define Module for Test Fixture

module t;

// Create Dummy Wires to Connect to signals

reg RESETN, SYSCLK, PNCLK;
reg [31:0] FREQWORD;
reg FWWRN;
reg [7:0] PHASEWORD;
reg PWWRN;
wire [7:0] DACOUT;

integer fileptr;
integer lp;

// Instantiate the top Level Block

dds m( .RESETN(RESETN), .SYSCLK(SYSCLK), .FREQWORD(FREQWORD), 
       .FWWRN(FWWRN), .PHASEWORD(PHASEWORD), .PWWRN(PWWRN),
       .PNCLK(PNCLK), .DACOUT(DACOUT) );

// Code for all top level Inputs and BiDirs

  initial begin   // 'RESETN'
    RESETN = 1; #20;
    RESETN = 0; #30;
    RESETN = 1; #30;
  end  // RESETN

  initial begin   // 'PNCLK'
    forever
    begin  
      PNCLK = 1; #50;
      PNCLK = 0; #50;
    end
  end  // PNCLK

  initial begin   // 'SYSCLK'
    forever
    begin  // Patt_3
      SYSCLK = 1; #15;
      SYSCLK = 0; #15;
    end
  end  // SYSCLK

  initial begin   // 'FREQWORD'
    FREQWORD[31:0] = 32 'h 00000000; #80;
    FREQWORD[31:0] = 32 'h 00000000; #90;
  end  // FREQWORD

  initial begin   // 'FWWRN'
    FWWRN = 1; #110;
    FWWRN = 0; #30;
    FWWRN = 1; #30;
  end  // FWWRN

  initial begin   // 'PHASEWORD'
    PHASEWORD[7:0] = 8 'h 00; #35;
	 PWWRN = 1; #30;
	 for (lp = 0; lp < 256; lp=lp+1)
		begin
			PHASEWORD = lp; #30;
			PWWRN = 0; #30;
			PWWRN = 1; #30;
		end
  end  // PHASEWORD


  initial 
  begin
		fileptr = $fopen("dds.out");
    	$fdisplay(fileptr,"TIME \t DACOUT \t");
		forever
		begin
			$fdisplay(fileptr,"%d",DACOUT);
			#30;
		end
	end

endmodule // t

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -