⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 maoci.vhd

📁 八音自动播放电子琴设计
💻 VHD
字号:

library ieee;
use ieee.std_logic_1164.all;

entity maoci is
       port(clk,key1:in std_logic;  
            keys1:out std_logic);
end entity maoci;

architecture mao of maoci is 
   signal key1_s1:std_logic;
   signal key1_s2:std_logic;
   signal key1_s3:std_logic;
   signal clks:std_logic;
   signal count0: integer range 0 to 12288000;
begin 
  
  process(clk)
     begin
         if(clk'event and clk='1')then
             
              if(count0=12288000)then
                    count0<=0;   
                    clks<='1';
              else
                   count0<=count0+1;
                   clks<='0';
              end if;
          end if;
     end process;

process(clks)
   begin  
    if(clks'event and clks='1')then
       key1_s1<=key1;  key1_s2<=key1_s1;
      
     end if;
   end process;
         key1_s3<=not key1_s2;
       
   process(clk)
       begin
          if(clk'event and clk='1')then 
             keys1<=clks and key1_s1 and key1_s3;
            
          end if;
   end process;
end mao ;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -