⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 ppm_de_tb.txt

📁 ppm是通信类系统比较重要的前段部件
💻 TXT
字号:
----------------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity test is
end test;

architecture tes of test is
	component ppm_d port(clk,rst,din:in std_logic;
				d_en,f_en:out std_logic;
		dout:out std_logic_vector(7 downto 0));
	end component;

	signal d_en,f_en: std_logic;	
	signal clk,clk0,rst,din: std_logic:='0';
	signal q:integer range 0 to 7;
	signal counter,counter_1:std_logic_vector(2 downto 0);
	signal dout,reg,temp:std_logic_vector(7 downto 0);

begin
	u1:ppm_d port map(clk,rst,din,d_en,f_en,dout);
process
	begin
		clk<='0' ;
    		wait for 0.295 us;
    		clk<='1' ;
    		wait for 0.295 us;
end process;

	rst<='1', '0' after 2 us,'1' after 2.59 us;

	din<='1' , '0' after 15 us, '1' after 24.44 us, '0' after 62.2 us, '1' after 71.64 us,
	'0' after 118.84 us, '1' after 128.28 us, '0' after 175.48 us, '1' after 184.92 us,
	'0' after 288.76 us, '1' after 298.2 us, '0' after 383.16 us,'1' after 392.6 us, 
	'0' after 411.48 us, '1' after 420.92 us, '0' after 430.36 us ; 
	
end;

configuration cfg_tb_ppm_d of test is
for tes
end for;
end;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -