📄 e_7_seg.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
entity E_7_seg is
PORT ( C : IN STD_logic_vector(2 DOWNTO 0);
Display : OUT STD_logic_vector(0 TO 6));
end E_7_seg;
ARCHITECTURE Behavior OF E_7_seg IS
BEGIN
process(c)
begin
case c is
when "000" =>display<="1001000";
when "001" =>display<="0110000";
when "010" =>display<="1110001";
when "011" =>display<="0000001";
when others =>display<="1111111";
end case;
end process;
END Behavior;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -