⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 synthesis.bd

📁 COriac Algorithm code
💻 BD
📖 第 1 页 / 共 5 页
字号:
optionalChildren [
*68 (CptPort
uid 419,0
ps "OnEdgeStrategy"
shape (Triangle
uid 420,0
ro 180
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "128625,50250,129375,51000"
)
n "abus"
t "std_logic_vector"
b "(WIDTH-1 downto 0)"
o 1
r 1
d 0
st 0
s 0
sf 1
tg (CPTG
uid 421,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 422,0
va (VaSet
)
xt "128000,52000,130200,53300"
st "abus"
ju 2
blo "130200,53000"
)
)
)
*69 (CptPort
uid 423,0
ps "OnEdgeStrategy"
shape (Triangle
uid 424,0
ro 180
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "138625,50250,139375,51000"
)
n "bbus"
t "std_logic_vector"
b "(WIDTH-1 downto 0)"
o 2
r 2
d 0
st 0
s 0
sf 1
tg (CPTG
uid 425,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 426,0
va (VaSet
)
xt "138000,52000,140200,53300"
st "bbus"
blo "138000,53000"
)
)
)
*70 (CptPort
uid 427,0
ps "OnEdgeStrategy"
shape (Triangle
uid 428,0
ro 180
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "133625,57000,134375,57750"
)
n "obus"
t "std_logic_vector"
b "(WIDTH-1 downto 0)"
m 1
o 3
r 3
d 0
st 0
s 0
sf 1
tg (CPTG
uid 429,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 430,0
va (VaSet
)
xt "133000,55700,135200,57000"
st "obus"
blo "133000,56700"
)
)
)
*71 (CptPort
uid 431,0
ps "OnEdgeStrategy"
shape (Triangle
uid 432,0
ro 270
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "139556,54625,140306,55375"
)
n "as"
t "std_logic"
o 4
r 4
d 0
st 0
s 0
sf 1
tg (CPTG
uid 433,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 434,0
va (VaSet
)
xt "138000,54350,139000,55650"
st "as"
ju 2
blo "139000,55350"
)
)
)
]
shape (Alu
uid 411,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "124000,51000,144000,57000"
)
oxt "170000,16000,189000,28000"
ttg (MlTextGroup
uid 412,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*72 (Text
uid 413,0
va (VaSet
isHidden 1
font "Arial,12,1"
)
xt "132300,52050,135900,53550"
st "cordic"
blo "132300,53250"
)
*73 (Text
uid 414,0
va (VaSet
isHidden 1
font "Arial,12,1"
)
xt "132300,53750,136500,55250"
st "addsub"
blo "132300,54950"
)
*74 (Text
uid 415,0
va (VaSet
font "Arial,12,1"
)
xt "132300,53550,135400,55050"
st "ADD3"
blo "132300,54750"
tm "InstanceNameMgr"
)
]
)
ga (GenericAssociation
uid 416,0
ps "EdgeToEdgeStrategy"
matrix (Matrix
uid 417,0
text (MLText
uid 418,0
va (VaSet
font "Courier New,10,0"
)
xt "122000,71800,141200,73000"
st "WIDTH = WIDTH    ( integer ) 
"
)
header ""
)
elements [
(GiElement
name "WIDTH"
type "integer"
value "WIDTH"
)
]
)
ordering 1
connectByName 1
viewType 1
portVis (PortSigDisplay
sTC 0
sF 0
)
)
*75 (SaComponent
uid 497,0
optionalChildren [
*76 (CptPort
uid 506,0
optionalChildren [
*77 (FFT
pts [
"144750,18000"
"144000,18375"
"144000,17625"
]
uid 2153,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
lineColor "0,32896,0"
)
xt "144000,17625,144750,18375"
)
]
ps "OnEdgeStrategy"
shape (Triangle
uid 507,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "143250,17625,144000,18375"
)
n "clk"
t "std_logic"
o 1
r 1
d 0
s 0
sf 1
tg (CPTG
uid 508,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 509,0
va (VaSet
)
xt "145000,17350,146200,18650"
st "clk"
blo "145000,18350"
)
)
)
*78 (CptPort
uid 511,0
optionalChildren [
*79 (Property
uid 515,0
pclass "comment"
pname "5,0"
pvalue "-- Active low reset"
ptn "String"
)
]
ps "OnEdgeStrategy"
shape (Triangle
uid 512,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "143250,21625,144000,22375"
)
n "reset"
t "std_logic"
o 2
r 2
d 0
s 0
sf 1
tg (CPTG
uid 513,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 514,0
va (VaSet
)
xt "145000,21350,147200,22650"
st "reset"
blo "145000,22350"
)
)
)
*80 (CptPort
uid 516,0
ps "OnEdgeStrategy"
shape (Triangle
uid 517,0
ro 180
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "146625,15250,147375,16000"
)
n "start"
t "std_logic"
o 3
r 3
d 0
s 0
sf 1
tg (CPTG
uid 518,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 519,0
va (VaSet
)
xt "146261,16365,147761,17365"
st "start"
blo "146261,17165"
)
)
)
*81 (CptPort
uid 520,0
ps "OnEdgeStrategy"
shape (Triangle
uid 521,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "149625,24000,150375,24750"
)
n "cnt"
t "std_logic_vector"
b "(4 downto 0)"
o 4
r 4
d 0
s 0
sf 1
tg (CPTG
uid 522,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 523,0
va (VaSet
)
xt "148000,21700,153000,23000"
st "cnt : (4:0)"
blo "148000,22700"
)
)
)
*82 (CptPort
uid 524,0
ps "OnEdgeStrategy"
shape (Triangle
uid 525,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "155000,18625,155750,19375"
)
n "init"
t "std_logic"
m 1
o 5
r 5
d 0
s 0
sf 1
tg (CPTG
uid 526,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 527,0
va (VaSet
)
xt "152500,18350,154000,19650"
st "init"
ju 2
blo "154000,19350"
)
)
)
*83 (CptPort
uid 528,0
ps "OnEdgeStrategy"
shape (Triangle
uid 529,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "155000,21625,155750,22375"
)
n "load"
t "std_logic"
m 1
o 6
r 6
d 0
s 0
sf 1
tg (CPTG
uid 530,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 531,0
va (VaSet
)
xt "151900,21350,154000,22650"
st "load"
ju 2
blo "154000,22350"
)
)
)
*84 (CptPort
uid 532,0
ps "OnEdgeStrategy"
shape (Triangle
uid 533,0
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "151625,15250,152375,16000"
)
n "done"
t "std_logic"
m 1
o 7
r 7
d 0
s 0
sf 1
tg (CPTG
uid 534,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 535,0
va (VaSet
)
xt "151201,16394,152801,17394"
st "done"
blo "151201,17194"
)
)
)
]
shape (Rectangle
uid 498,0
va (VaSet
vasetType 1
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "144000,16000,155000,24000"
)
oxt "95000,20000,105000,35000"
ttg (MlTextGroup
uid 499,0
optionalChildren [
*85 (Text
uid 2977,0
va (VaSet
isHidden 1
font "Arial,12,1"
)
xt "148750,20550,157750,22050"
st "synthesis.sm"
blo "148750,21750"
tm "SaCptViewNameMgr"
)
]
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*86 (Text
uid 500,0
va (VaSet
isHidden 1
font "Arial,12,1"
)
xt "148750,17550,152350,19050"
st "cordic"
blo "148750,18750"
)
*87 (Text
uid 501,0
va (VaSet
font "Arial,12,1"
)
xt "148750,19050,150950,20550"
st "fsm"
blo "148750,20250"
)
*88 (Text
uid 502,0
va (VaSet
isHidden 1
font "Arial,12,1"
)
xt "148750,20950,151850,22450"
st "FSM1"
blo "148750,22150"
tm "InstanceNameMgr"
)
]
)
ga (GenericAssociation
uid 503,0
ps "EdgeToEdgeStrategy"
matrix (Matrix
uid 504,0
text (MLText
uid 505,0
va (VaSet
isHidden 1
font "Courier New,10,0"
)
xt "136250,9550,136250,9550"
)
header ""
)
elements [
]
)
ordering 1
viewType 2
)
*89 (HdlText
uid 621,0
optionalChildren [
*90 (EmbeddedText
uid 626,0
commentText (CommentText
uid 627,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 628,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
lineColor "0,0,32768"
lineWidth 2
)
xt "64000,16000,82000,21000"
)
oxt "138000,36000,156000,41000"
text (MLText
uid 629,0
va (VaSet
isHidden 1
)
xt "64200,16200,74600,20200"
st "
-- COS(X) Register
 process (clk,newx_s) 
  begin
    if (rising_edge(clk)) then
       if init_s='1' then xreg_s(WIDTH-1 downto 0) <= xinit_c(31 downto 32-WIDTH); -- 0.607     
       elsif load_s='1' then xreg_s <= newx_s;                   
       end if;
   end if;   
 end process;
"
tm "HdlTextMgr"
wrapOption 3
visibleHeight 4600
visibleWidth 17600
)
)
)
]
shape (Rectangle
uid 622,0
va (VaSet
vasetType 1
fg "65535,65535,37120"
lineColor "0,0,32768"
lineWidth 2
)
xt "56000,16000,66000,24000"
)
oxt "130000,36000,140000,46000"
textGroup (MlTextGroup
uid 623,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*91 (Text
uid 624,0
va (VaSet
font "Arial,12,1"
)
xt "57950,19400,64950,20900"
st "X_Register"
blo "57950,20600"
tm "HdlTextNameMgr"
)
*92 (Text
uid 625,0
va (VaSet
isHidden 1
font "Arial,12,1"
)
xt "57950,20900,58650,22400"
st "2"
blo "57950,22100"
tm "HdlTextNumberMgr"
)
]
)
)
*93 (HdlText
uid 680,0
optionalChildren [
*94 (EmbeddedText
uid 685,0
commentText (CommentText
uid 686,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 687,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
lineColor "0,0,32768"
lineWidth 2
)
xt "97000,15000,115000,20000"
)
oxt "103000,54000,121000,59000"
text (MLText
uid 688,0
va (VaSet
isHidden 1
)
xt "97200,15200,107600,19200"
st "
-- SIN(Y) Register
 process (clk,newy_s) 
  begin
    if (rising_edge(clk)) then
       if init_s='1' then yreg_s <= (others => '0'); -- 0.0000
       elsif load_s='1' then yreg_s <= newy_s;                   
       end if;
   end if;   
 end process;
"
tm "HdlTextMgr"
wrapOption 3
visibleHeight 4600
visibleWidth 17600
)
)
)
]
shape (Rectangle
uid 681,0
va (VaSet
vasetType 1
fg "65535,65535,37120"
lineColor "0,0,32768"
lineWidth 2
)
xt "89000,16000,99000,24000"
)
oxt "95000,54000,105000,64000"
textGroup (MlTextGroup
uid 682,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*95 (Text
uid 683,0
va (VaSet
font "Arial,12,1"
)
xt "90950,19400,97850,20900"
st "Y_Register"
blo "90950,20600"
tm "HdlTextNameMgr"
)
*96 (Text
uid 684,0
va (VaSet
isHidden 1
font "Arial,12,1"
)
xt "90950,20900,91650,22400"
st "3"
blo "90950,22100"
tm "HdlTextNumberMgr"
)
]
)
)
*97 (HdlText
uid 739,0
optionalChildren [
*98 (EmbeddedText
uid 744,0
commentText (CommentText
uid 745,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 746,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
lineColor "0,0,32768"
lineWidth 2
)
xt "132000,15000,150000,20000"
)
oxt "138000,17000,156000,22000"
text (MLText
uid 747,0
va (VaSet
isHidden 1
)
xt "132200,15200,143000,19200"
st "
-- Z Register
 process (clk,newz_s,angle) 
  begin
    if (rising_edge(clk)) then
       if init_s='1' then zreg_s <= angle;          -- x
       elsif load_s='1' then zreg_s <= newz_s;                   
       end if;
   end if;   
 end process;
"
tm "HdlTextMgr"
wrapOption 3
visibleHeight 4600
visibleWidth 17600
)
)
)
]
shape (Rectangle
uid 740,0
va (VaSet
vasetType 1
fg "65535,65535,37120"
lineColor "0,0,32768"
lineWidth 2
)
xt "124000,16000,134000,24000"
)
oxt "130000,17000,140000,29000"
textGroup (MlTextGroup
uid 741,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*99 (Text
uid 742,0
va (VaSet
font "Arial,12,1"
)
xt "125950,19400,132850,20900"
st "Z_Register"
blo "125950,20600"
tm "HdlTextNameMgr"
)
*100 (Text
uid 743,0
va (VaSet
isHidden 1
font "Arial,12,1"
)
xt "125950,20900,126650,22400"
st "4"
blo "125950,22100"
tm "HdlTextNumberMgr"
)
]
)
)
*101 (HdlText
uid 808,0
optionalChildren [
*102 (EmbeddedText
uid 813,0
commentText (CommentText
uid 814,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 815,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "0,0,32768"
lineWidth 2
)
xt "117000,38000,128000,41000"
)
oxt "138000,70000,156000,75000"
text (MLText
uid 816,0
va (VaSet
)
xt "117200,38200,127900,40200"
st "
-- MSB=Sign bit
as_s <= zreg_s(WIDTH-1);"
tm "HdlTextMgr"
wrapOption 3
visibleHeight 3000
visibleWidth 11000
)
)
)
]
shape (Rectangle
uid 809,0
va (VaSet
vasetType 1
fg "65535,65535,37120"
lineColor "0,0,32768"
lineWidth 2
)
xt "120000,42000,123000,44000"
)
oxt "130000,70000,140000,80000"
textGroup (MlTextGroup
uid 810,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*103 (Text
uid 811,0
va (VaSet
font "Arial,12,1"
)
xt "120463,42161,122563,43661"
st "eb5"
blo "120463,43361"
tm "HdlTextNameMgr"
)
*104 (Text
uid 812,0
va (VaSet
isHidden 1
font "Arial,12,1"
)
xt "120463,43661,121163,45161"
st "5"
blo "120463,44861"
tm "HdlTextNumberMgr"
)
]
)
)
*105 (HdlText
uid 837,0
optionalChildren [
*106 (EmbeddedText
uid 842,0
commentText (CommentText
uid 843,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 844,0
va (VaSet
vasetType 1
isHidden 1
fg "65535,65535,65535"
lineColor "0,0,32768"
lineWidth 2
)
xt "147000,26000,165000,31000"
)
oxt "138000,87000,156000,92000"
text (MLText
uid 845,0
va (VaSet
isHidden 1
)
xt "147200,26200,163400,30200"
st "
process (clk,load_s,init_s) -- bit counter
  begin
    if (rising_edge(clk)) then   
       if init_s='1' then cnt_s<=(others=> '0');
        elsif (load_s='1') then cnt_s <= cnt_s + '1';
       end if;   
    end if;   
 end process;
"
tm "HdlTextMgr"
wrapOption 3
visibleHeight 4600
visibleWidth 17600
)
)
)
]
shape (Rectangle
uid 838,0
va (VaSet
vasetType 1
fg "65535,65535,37120"
lineColor "0,0,32768"
lineWidth 2
)
xt "139000,27000,146000,33000"
)
oxt "130000,87000,140000,97000"
textGroup (MlTextGroup
uid 839,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*107 (Text
uid 840,0
va (VaSet
font "Arial,12,1"
)
xt "139950,29400,144450,30900"
st "Counter"
blo "139950,30600"
tm "HdlTextNameMgr"
)
*108 (Text
uid 841,0
va (VaSet
isHidden 1
font "Arial,12,1"
)
xt "139950,30900,140650,32400"
st "6"
blo "139950,32100"
tm "HdlTextNumberMgr"
)
]
)
)
*109 (HdlText
uid 886,0
optionalChildren [
*110 (EmbeddedText
uid 891,0
commentText (CommentText
uid 892,0
ps "CenterOffsetStrategy"
shape (Rectangle
uid 893,0
va (VaSet
vasetType 1

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -