📄 struct.bd
字号:
vasetType 1
fg "65535,65535,65535"
lineStyle 2
lineWidth 2
)
xt "16000,44000,57000,49000"
)
oxt "14000,66000,55000,71000"
)
*31 (Net
uid 259,0
name "done"
type "std_logic"
orderNo 6
declText (MLText
uid 260,0
va (VaSet
font "Courier New,8,0"
)
xt "4000,6600,20500,7400"
st "SIGNAL done : std_logic
"
)
)
*32 (Net
uid 267,0
name "start"
type "std_logic"
orderNo 7
declText (MLText
uid 268,0
va (VaSet
font "Courier New,8,0"
)
xt "4000,9000,20500,9800"
st "SIGNAL start : std_logic
"
)
)
*33 (Net
uid 281,0
name "clk"
type "std_logic"
orderNo 6
declText (MLText
uid 282,0
va (VaSet
font "Courier New,8,0"
)
xt "4000,5000,20500,5800"
st "SIGNAL clk : std_logic
"
)
)
*34 (Net
uid 287,0
name "reset"
type "std_logic"
orderNo 7
declText (MLText
uid 288,0
va (VaSet
font "Courier New,8,0"
)
xt "4000,7400,20500,8200"
st "SIGNAL reset : std_logic
"
)
)
*35 (Net
uid 293,0
name "angle"
type "std_logic_vector"
bounds "(WIDTH-1 downto 0)"
orderNo 7
declText (MLText
uid 294,0
va (VaSet
font "Courier New,8,0"
)
xt "4000,3400,33500,4200"
st "SIGNAL angle : std_logic_vector(WIDTH-1 downto 0)
"
)
)
*36 (Net
uid 301,0
name "sin"
type "std_logic_vector"
bounds "(WIDTH-1 downto 0)"
orderNo 6
declText (MLText
uid 302,0
va (VaSet
font "Courier New,8,0"
)
xt "4000,8200,33500,9000"
st "SIGNAL sin : std_logic_vector(WIDTH-1 downto 0)
"
)
)
*37 (Net
uid 309,0
name "cos"
type "std_logic_vector"
bounds "(WIDTH-1 downto 0)"
orderNo 7
declText (MLText
uid 310,0
va (VaSet
font "Courier New,8,0"
)
xt "4000,5800,33500,6600"
st "SIGNAL cos : std_logic_vector(WIDTH-1 downto 0)
"
)
)
*38 (MWC
uid 467,0
optionalChildren [
*39 (CptPort
uid 454,0
optionalChildren [
*40 (Line
uid 458,0
layer 5
sl 0
va (VaSet
vasetType 3
)
xt "16000,17000,17000,17000"
pts [
"16000,17000"
"17000,17000"
]
)
]
ps "OnEdgeStrategy"
shape (Triangle
uid 455,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,65535,65535"
)
xt "15250,16625,16000,17375"
)
n "din"
t "std_logic"
o 1
r 1
st 0
s 0
sf 1
tg (CPTG
uid 456,0
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 457,0
sl 0
va (VaSet
isHidden 1
font "arial,8,0"
)
xt "13000,16500,14000,17500"
st "din"
blo "13000,17300"
)
s (Text
uid 476,0
sl 0
va (VaSet
isHidden 1
font "arial,8,0"
)
xt "13000,17500,13000,17500"
blo "13000,17500"
)
)
)
*41 (CptPort
uid 459,0
optionalChildren [
*42 (Line
uid 463,0
layer 5
sl 0
va (VaSet
vasetType 3
)
xt "20750,17000,21000,17000"
pts [
"21000,17000"
"20750,17000"
]
)
*43 (Circle
uid 464,0
va (VaSet
vasetType 1
fg "65535,65535,65535"
lineColor "26368,26368,26368"
)
xt "20000,16625,20750,17375"
radius 375
)
]
ps "OnEdgeStrategy"
shape (Triangle
uid 460,0
ro 90
va (VaSet
vasetType 1
isHidden 1
fg "0,65535,65535"
)
xt "21000,16625,21750,17375"
)
n "dout"
t "std_logic"
m 1
o 2
r 2
st 0
s 0
sf 1
tg (CPTG
uid 461,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 462,0
sl 0
va (VaSet
isHidden 1
font "arial,8,0"
)
xt "23350,16500,24750,17500"
st "dout"
ju 2
blo "24750,17300"
)
s (Text
uid 477,0
sl 0
va (VaSet
isHidden 1
font "arial,8,0"
)
xt "24750,17500,24750,17500"
ju 2
blo "24750,17500"
)
)
)
*44 (CommentGraphic
uid 465,0
shape (CustomPolygon
pts [
"17000,15000"
"20000,17000"
"17000,19000"
"17000,15000"
]
uid 466,0
layer 0
sl 0
va (VaSet
vasetType 1
fg "0,65535,65535"
bg "0,65535,65535"
lineColor "26368,26368,26368"
)
xt "17000,15000,20000,19000"
)
oxt "23000,15000,26000,19000"
)
]
shape (Rectangle
uid 468,0
va (VaSet
vasetType 1
transparent 1
fg "0,65535,0"
lineColor "65535,65535,65535"
lineWidth -1
)
xt "16000,15000,21000,19000"
fos 1
)
showPorts 0
oxt "22000,15000,27000,19000"
ttg (MlTextGroup
uid 469,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*45 (Text
uid 470,0
va (VaSet
isHidden 1
font "Arial,8,1"
)
xt "17350,15100,22550,16100"
st "moduleware"
blo "17350,15900"
)
*46 (Text
uid 471,0
va (VaSet
font "Arial,8,1"
)
xt "17350,16100,18550,17100"
st "inv"
blo "17350,16900"
)
*47 (Text
uid 472,0
va (VaSet
font "Arial,8,1"
)
xt "17350,17100,17950,18100"
st "I3"
blo "17350,17900"
tm "InstanceNameMgr"
)
]
)
ga (GenericAssociation
uid 473,0
ps "EdgeToEdgeStrategy"
matrix (Matrix
uid 474,0
text (MLText
uid 475,0
va (VaSet
font "Courier New,8,0"
)
xt "-6000,0,-6000,0"
)
header ""
)
elements [
]
)
sed 1
awe 1
prms (Property
uid 503,0
pclass "params"
pname "params"
ptn "String"
)
)
*48 (Net
uid 497,0
name "async_reset"
type "std_logic"
orderNo 9
declText (MLText
uid 498,0
va (VaSet
font "Courier New,8,0"
)
xt "4000,4200,20500,5000"
st "SIGNAL async_reset : std_logic
"
)
)
*49 (SaComponent
uid 756,0
optionalChildren [
*50 (CptPort
uid 744,0
ps "OnEdgeStrategy"
shape (Triangle
uid 745,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "12000,13625,12750,14375"
)
n "clk"
t "std_logic"
m 1
o 1
r 1
d 0
s 0
sf 1
tg (CPTG
uid 746,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 747,0
va (VaSet
)
xt "10100,13500,11000,14500"
st "clk"
ju 2
blo "11000,14300"
)
)
)
*51 (CptPort
uid 748,0
ps "OnEdgeStrategy"
shape (Triangle
uid 749,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "12000,16625,12750,17375"
)
n "async_reset"
t "std_logic"
m 1
o 2
r 2
d 0
s 0
sf 1
tg (CPTG
uid 750,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 751,0
va (VaSet
)
xt "6200,16500,11000,17500"
st "async_reset"
ju 2
blo "11000,17300"
)
)
)
*52 (CptPort
uid 752,0
ps "OnEdgeStrategy"
shape (Triangle
uid 753,0
ro 90
va (VaSet
vasetType 1
fg "0,65535,0"
)
xt "12000,18625,12750,19375"
)
n "sync_reset"
t "std_logic"
m 1
o 3
r 3
d 0
s 0
sf 1
tg (CPTG
uid 754,0
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
f (Text
uid 755,0
va (VaSet
)
xt "6600,18500,11000,19500"
st "sync_reset"
ju 2
blo "11000,19300"
)
)
)
]
shape (Rectangle
uid 757,0
va (VaSet
vasetType 1
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "3000,13000,12000,20000"
)
oxt "0,0,8000,10000"
ttg (MlTextGroup
uid 758,0
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
textVec [
*53 (Text
uid 759,0
va (VaSet
font "Arial,8,1"
)
xt "3400,14000,5900,15000"
st "Cordic"
blo "3400,14800"
)
*54 (Text
uid 760,0
va (VaSet
font "Arial,8,1"
)
xt "3400,15000,6700,16000"
st "clockgen"
blo "3400,15800"
)
*55 (Text
uid 761,0
va (VaSet
font "Arial,8,1"
)
xt "3400,16000,4000,17000"
st "I4"
blo "3400,16800"
tm "InstanceNameMgr"
)
]
)
ga (GenericAssociation
uid 762,0
ps "EdgeToEdgeStrategy"
matrix (Matrix
uid 763,0
text (MLText
uid 764,0
va (VaSet
font "Courier New,8,0"
)
xt "2000,11200,21000,12000"
st "PERIOD = 67.8168403 ns ( time )
"
)
header ""
)
elements [
(GiElement
name "PERIOD"
type "time"
value "67.8168403 ns"
)
]
)
ordering 1
portVis (PortSigDisplay
sIVOD 1
)
)
*56 (Wire
uid 261,0
shape (OrthoPolyLine
uid 262,0
va (VaSet
vasetType 3
)
xt "37000,24750,37000,28000"
pts [
"37000,24750"
"37000,28000"
]
)
start &14
end &1
sat 32
eat 1
st 0
si 0
tg (WTG
uid 265,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 266,0
ro 270
va (VaSet
)
xt "36000,25750,37000,27350"
st "done"
blo "36800,27350"
tm "WireNameMgr"
)
)
on &31
)
*57 (Wire
uid 269,0
shape (OrthoPolyLine
uid 270,0
va (VaSet
vasetType 3
)
xt "32000,24750,32000,28000"
pts [
"32000,24750"
"32000,28000"
]
)
start &13
end &1
sat 32
eat 2
st 0
si 0
tg (WTG
uid 273,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 274,0
ro 270
va (VaSet
)
xt "31000,25750,32000,27250"
st "start"
blo "31800,27250"
tm "WireNameMgr"
)
)
on &32
)
*58 (Wire
uid 295,0
shape (OrthoPolyLine
uid 296,0
va (VaSet
vasetType 3
lineWidth 2
)
xt "20000,20000,24000,30000"
pts [
"23250,20000"
"20000,20000"
"20000,30000"
"24000,30000"
]
)
start &9
end &1
sat 32
eat 2
sty 1
st 0
si 0
tg (WTG
uid 299,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 300,0
ro 270
va (VaSet
)
xt "18000,20300,19000,31000"
st "angle : (WIDTH-1 downto 0)"
blo "18800,31000"
tm "WireNameMgr"
)
)
on &35
)
*59 (Wire
uid 303,0
shape (OrthoPolyLine
uid 304,0
va (VaSet
vasetType 3
lineWidth 2
)
xt "46000,18000,51000,30000"
pts [
"46750,18000"
"51000,18000"
"51000,30000"
"46000,30000"
]
)
start &11
end &1
sat 32
eat 1
sty 1
st 0
si 0
tg (WTG
uid 307,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 308,0
ro 270
va (VaSet
)
xt "49665,19356,50665,29156"
st "sin : (WIDTH-1 downto 0)"
blo "50465,29156"
tm "WireNameMgr"
)
)
on &36
)
*60 (Wire
uid 311,0
shape (OrthoPolyLine
uid 312,0
va (VaSet
vasetType 3
lineWidth 2
)
xt "46000,15000,53000,33000"
pts [
"46750,15000"
"53000,15000"
"53000,33000"
"46000,33000"
]
)
start &12
end &1
sat 32
eat 1
sty 1
st 0
si 0
tg (WTG
uid 315,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 316,0
ro 270
va (VaSet
)
xt "51629,19061,52629,29061"
st "cos : (WIDTH-1 downto 0)"
blo "52429,29061"
tm "WireNameMgr"
)
)
on &37
)
*61 (Wire
uid 364,0
shape (OrthoPolyLine
uid 365,0
va (VaSet
vasetType 3
)
xt "21000,32000,24000,32000"
pts [
"21000,32000"
"24000,32000"
]
)
end &1
sat 16
eat 1
st 0
sf 1
si 0
tg (WTG
uid 370,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 371,0
va (VaSet
)
xt "19251,31464,20151,32464"
st "clk"
blo "19251,32264"
tm "WireNameMgr"
)
)
on &33
)
*62 (Wire
uid 374,0
shape (OrthoPolyLine
uid 375,0
va (VaSet
vasetType 3
)
xt "21000,34000,24000,34000"
pts [
"21000,34000"
"24000,34000"
]
)
end &1
sat 16
eat 1
st 0
sf 1
si 0
tg (WTG
uid 380,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 381,0
va (VaSet
)
xt "18552,33508,20252,34508"
st "reset"
blo "18552,34308"
tm "WireNameMgr"
)
)
on &34
)
*63 (Wire
uid 493,0
shape (OrthoPolyLine
uid 494,0
va (VaSet
vasetType 3
)
xt "21000,17000,23250,17000"
pts [
"21000,17000"
"23250,17000"
]
)
start &41
end &7
sat 32
eat 32
stc 0
st 0
sf 1
si 0
tg (WTG
uid 495,0
ps "ConnStartEndStrategy"
stg "VerticalLayoutStrategy"
f (Text
uid 496,0
va (VaSet
isHidden 1
)
xt "23000,16000,24700,17000"
st "reset"
blo "23000,16800"
tm "WireNameMgr"
)
)
on &34
)
*64 (Wire
uid 627,0
shape (OrthoPolyLine
uid 628,0
va (VaSet
vasetType 3
)
xt "12750,14000,23250,14000"
pts [
"12750,14000"
"23250,14000"
]
)
start &50
end &15
ss 0
es 0
sat 32
eat 32
stc 0
st 0
sf 1
si 0
tg (WTG
uid 629,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 630,0
va (VaSet
isHidden 1
)
xt "20750,13000,21650,14000"
st "clk"
blo "20750,13800"
tm "WireNameMgr"
)
)
on &33
)
*65 (Wire
uid 633,0
shape (OrthoPolyLine
uid 634,0
va (VaSet
vasetType 3
)
xt "12750,17000,16000,17000"
pts [
"16000,17000"
"12750,17000"
]
)
start &39
end &51
ss 0
sat 32
eat 32
stc 0
st 0
sf 1
si 0
tg (WTG
uid 635,0
ps "ConnStartEndStrategy"
stg "STSignalDisplayStrategy"
f (Text
uid 636,0
va (VaSet
isHidden 1
)
xt "15000,16000,19800,17000"
st "async_reset"
blo "15000,16800"
tm "WireNameMgr"
)
)
on &48
)
]
LanguageMgr "VhdlLangMgr"
bg "65535,65535,65535"
grid (Grid
origin "0,0"
isVisible 1
isActive 1
xSpacing 1000
xySpacing 1000
xShown 1
yShown 1
color "26368,26368,26368"
)
packageList *66 (PackageList
uid 205,0
stg "VerticalLayoutStrategy"
textVec [
*67 (Text
uid 206,0
va (VaSet
font "arial,8,1"
)
xt "47000,1000,52400,2000"
st "Package List"
blo "47000,1800"
)
*68 (MLText
uid 207,0
va (VaSet
)
xt "47000,2000,59900,11000"
st "LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.ALL;
LIBRARY Cordic;
USE Cordic.cordic_pkg.ALL;
LIBRARY std;
USE std.textio.all;"
tm "PackageList"
)
]
)
compDirBlock (MlTextGroup
uid 208,0
stg "VerticalLayoutStrategy"
textVec [
*69 (Text
uid 209,0
va (VaSet
isHidden 1
font "Arial,8,1"
)
xt "20000,0,28100,1000"
st "Compiler Directives"
blo "20000,800"
)
*70 (Text
uid 210,0
va (VaSet
isHidden 1
font "Arial,8,1"
)
xt "20000,1000,29600,2000"
st "Pre-module directives:"
blo "20000,1800"
)
*71 (MLText
uid 211,0
va (VaSet
isHidden 1
)
xt "20000,2000,27500,4000"
st "`resetall
`timescale 1ns/10ps"
tm "BdCompilerDirectivesTextMgr"
)
*72 (Text
uid 212,0
va (VaSet
isHidden 1
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -