📄 m8051.vhd
字号:
end component; component m3s023bo port( SFRDAT : out std_logic_vector (7 downto 0); FA : in std_logic_vector (6 downto 0); PORTA, PORTB, PORTC, PORTD, SP, DPL , DPH, PCON, TCON, TMOD, TLA, TLB, THA, THB, SCON, SBUF, IE, IP, PSWDAT, ACCDAT, BREG, MSIZ : in std_logic_vector (7 downto 0)); end component; component m3s025bo port( OPC : out std_logic_vector (7 downto 0); OPLOAD : out std_logic; IROMD : in std_logic_vector (7 downto 0); STATD : in std_logic_vector (1 downto 1); PCON : in std_logic_vector (0 downto 0); CLEAR, RST, DIV2CK, NX1, INTA, LCYC, DLM : in std_logic ); end component; component m3s028bo port( SCON, SBUF : out std_logic_vector (7 downto 0); RITI, RXDO, TXDO : out std_logic; DI : in std_logic_vector (0 downto 0); RAMDI : in std_logic_vector (7 downto 0); PCON : in std_logic_vector (7 downto 7); SFRW : in std_logic_vector (11 downto 10); LOV1, S_EN, T_EN, STATE12, DIV2CK1, NX1, NX2, CLEAR : in std_logic); end component; component m3s001bo port( STATD : out std_logic_vector (6 downto 1); CYC : out std_logic_vector (3 downto 1); LCYC, DIV2CK1, DIV2CK2, S_EN, T_EN, STATE12 : out std_logic; RST, GOCYC2, MULDIV, NX1, NX2 : in std_logic; PCON : in std_logic_vector (0 downto 0)); end component; component NAND2_1 port( Z : out std_logic; A0, A1 : in std_logic); end component; component NOR2_1 port( Z : out std_logic; A0, A1 : in std_logic); end component; component BUF_4 port( Z : out std_logic; A : in std_logic); end component; component NOR2B1_1 port( Z : out std_logic; A0, A1 : in std_logic); end component; component OAI21_1 port( Z : out std_logic; A1, A2, B : in std_logic); end component; component NOR3_1 port( Z : out std_logic; A0, A1, A2 : in std_logic); end component; component INV_1 port( Z : out std_logic; A : in std_logic); end component; component MUXI21_1 port( Z : out std_logic; A0, A1, S : in std_logic); end component; component MUX21_1 port( Z : out std_logic; A0, A1, S : in std_logic); end component; component BUF_2 port( Z : out std_logic; A : in std_logic); end component; component OA21_1 port( Z : out std_logic; A1, A2, B : in std_logic); end component; component AND2_1 port( Z : out std_logic; A0, A1 : in std_logic); end component; component DFF_SB_1 port( Q, QB : out std_logic; D, CLK, SB : in std_logic); end component; component BUF_6 port( Z : out std_logic; A : in std_logic); end component; component DFFQ_4 port( Q : out std_logic; D, CLK : in std_logic); end component; component DFF_1 port( Q, QB : out std_logic; D, CLK : in std_logic); end component; component DFFQ_1 port( Q : out std_logic; D, CLK : in std_logic); end component; signal ALUDAT_7_port, ALUDAT_6_port, ALUDAT_2_port, CPRDDM_6_port, ALUC_2_port, PCADD_4_port, SFRW_16_port, SFRDAT_4_port, STACK_DATA_11_port, TCON_0_port, PORTC_1_port, OAI_3_port, FAb_4_port, PROGRAM_COUNT_9_port, CPRDDM_2_port, ACC0, PSWC_2_port, ALUC_12_port, LOGDI, JMPADPTR, OPC_1_port, IMMDAT_3_port, DPL_4_port, PROGRAM_COUNT_0_port, IACK_3_port, SFRW_3_port, SBUF_6_port, PSWDAT_7_port, PCONx7x, THA_1_port, MOEI, XOFFb, Mb_13_port, THB_2_port, TLB_0_port, IP_2_port, TLA_3_port, ALUC_6_port, CODAT_1_port, STATD_1_port, DPL_0_port, NMULAB, BIT_POSN_2_port, ALUC_16_port, MOVX_2_port, SFRW_21_port, DIV2CK2, C_TRUE, SFRW_12_port, SFRDAT_0_port, OAI_7_port, FAb_0_port, PORTC_5_port, STACK_DATA_15_port, TCON_4_port, PCADD_9_port, STATD_5_port, IDLEb, PSWDAT_3_port, DLMR, PCONx3x, TLB_4_port, TLA_7_port, THB_6_port, IP_6_port, THA_5_port, BIT_POSN_0_port, DAAH, OPC_7_port, OPC_5_port, SFRW_7_port, PROGRAM_COUNT_13_port, SBUF_2_port, SFRW_5_port, IMMDAT_7_port, VECTOR_ADDR_4_port, LOV1, PROGRAM_COUNT_4_port, CYC_2_port, SBUF_0_port, PROGRAM_COUNT_11_port, EXT_ROM, IMMDAT_5_port, PROGRAM_COUNT_6_port, ALUC_14_port, SFRW_19_port, PSWDAT_1_port, LDLM87, TLB_6_port, IP_4_port, TLA_5_port, CPRDDM_4_port, CPRDDM_0_port, ALUC_4_port, PCADD_2_port, SFRW_10_port, THB_4_port, THA_7_port, Mb_15_port, MOVX_0_port, SFRDAT_2_port, OAI_5_port, FAb_2_port, PORTC_7_port, DPL_2_port, TCON_6_port, ALUC_10_port, MULDIV, PSWDAT_5_port, PCONx5x, Mb_11_port, THB_0_port, THA_3_port, TLB_2_port, TLA_1_port, IP_0_port, ALUC_9_port, OPC_3_port, STATD_3_port, IMMDAT_1_port, PROGRAM_COUNT_15_port, PROGRAM_COUNT_2_port, IACK_1_port, SFRW_1_port, VECTOR_ADDR_2_port, SBUF_4_port, ALUC_0_port, S_EN, ACLDAT_5_port, PCADD_6_port, PSWC_0_port, DPL_6_port, MOVX_4_port, SFRW_8_port, STACK_DATA_13_port, TCON_2_port, PORTC_3_port, SFRDAT_6_port, OAI_1_port, FAb_6_port, n_377, ADDR_11BIT, SFRW_14_port, RDAT_3_port, TMOD_6_port, PORTD_0_port, Mb_3_port, REGADD_5_port, DPH_6_port, MSIZ_1_port, TMPDAT_0_port, ACCDAT_5_port, SPC_1_port, STACK_DATA_4_port, TMPADD_1_port, RAMDI_1_port, IROMD_2_port, SCON_2_port, ACCADD_4_port, BREG_5_port, SP_1_port, PORTA_4_port, PORTB_7_port, IE_1_port, STACK_DATA_0_port, ALUDAT_5_port, ALUDAT_4_port, ACLDAT_8_port, ACLDAT_1_port, REGADD_1_port, MSIZ_5_port, OV, TMPDAT_4_port, ACCADD_9_port, DPH_2_port, RXDO, DLMb, TMOD_2_port, PORTD_4_port, Mb_7_port, RMW, RDAT_7_port, ACCADD_0_port, BREG_1_port, IE_5_port, PORTA_0_port, PORTB_3_port, GOCYC2, ACCDAT_1_port, REGADD_8_port, SP_5_port, SCON_6_port, JBC, IROMD_6_port, STACK_DATA_9_port, TMPDAT_6_port, ACCDAT_3_port, PCADD_12_port, RAMDI_5_port, SCON_4_port, IROMD_4_port, PCADD_10_port, RAMDI_7_port, LCYC, PORTA_2_port, PORTB_1_port, ACCADD_2_port, BREG_3_port, IE_7_port, REGADD_3_port, SP_7_port, TMOD_0_port, PORTD_6_port, Mb_5_port, RDAT_5_port, STACK_DATA_2_port, ALUDAT_1_port, ALUDAT_0_port, ACLDAT_7_port, ACLDAT_3_port, MSIZ_7_port, TMPDAT_2_port, ACCADD_6_port, BREG_7_port, DPH_0_port, SP_3_port, STATE12, IE_3_port, ACCDAT_7_port, SPC_3_port, PORTA_6_port, PORTB_5_port, Mb_8_port, TMPADD_3_port, RAMDI_3_port, SCON_0_port, IROMD_0_port, DPH_4_port, MSIZ_3_port, BBIT, REGADD_7_port, STACK_DATA_6_port, ACLDAT_6_port, TMPDAT_3_port, ACCADD_7_port, BREG_6_port, RDAT_1_port, TMOD_4_port, PORTD_2_port, Mb_1_port, CJNE, SP_2_port, Mb_9_port, PORTB_4_port, ACCDAT_6_port, SPC_2_port, TMPADD_2_port, PORTA_7_port, IE_2_port, RAMDI_2_port, TXDO, SCON_1_port, IROMD_1_port, MSIZ_2_port, T_EN, DLMSTQx0x, DAA, DPH_5_port, STACK_DATA_7_port, OPLOAD, REGADD_6_port, TMPDAT_7_port, ACCDAT_2_port, RDAT_0_port, CLEAR, TMOD_5_port, IROMD_5_port, PORTD_3_port, Mb_0_port, SCON_5_port, PCADD_11_port, RAMDI_6_port, RESINT, PORTA_3_port, IE_6_port , ACCADD_3_port, BREG_2_port, SP_6_port, PORTB_0_port, DIV2CK1, RDAT_4_port, PORTD_7_port, Mb_4_port, TMOD_1_port, ACLDAT_2_port, REGADD_2_port, STACK_DATA_3_port, REGADD_0_port, DPH_1_port, MSIZ_6_port, ALUDAT_3_port, ACLDAT_9_port, ACLDAT_0_port, PAR, STACK_DATA_1_port, TMPDAT_5_port, ACCADD_8_port, DAAL, DPH_3_port, MSIZ_4_port, EITHER_RET, PORTD_5_port, Mb_6_port, RDAT_6_port, TMOD_3_port, EXPMEM, PORTB_2_port, IE_4_port, ACCDAT_0_port, NDIVAB, PORTA_1_port, ACCADD_1_port, BREG_0_port, SP_4_port, STACK_DATA_8_port, SCON_7_port, REGADD_9_port, IROMD_7_port, RAMDI_4_port, ACLDAT_4_port, RDAT_2_port, TMOD_7_port, MSIZ_0_port, PORTD_1_port, Mb_2_port, DPH_7_port, STACK_DATA_5_port, INTA , CPRDDM_7_port, CPRDDM_5_port, ACO, TMPDAT_1_port, ACCDAT_4_port, SPC_0_port, TMPADD_0_port, REGADD_4_port, RAMDI_0_port, IROMD_3_port, SCON_3_port, ACCADD_5_port, BREG_4_port, REGADD_10_port, SP_0_port, PORTA_5_port, ALUC_11_port, PCONx4x, THB_1_port, THA_2_port, PORTB_6_port , IE_0_port, Mb_10_port, ALUC_8_port, OPC_2_port, STATD_2_port, PSWDAT_4_port, PROGRAM_COUNT_14_port, TLB_3_port, TLA_0_port, IP_1_port, VECTOR_ADDR_3_port, IACK_0_port, IMMDAT_0_port, PROGRAM_COUNT_3_port, SFRW_0_port, WEP, SBUF_5_port, ALUC_1_port, PSWC_1_port, SFRW_9_port, DPL_7_port, CPRDDM_3_port, CPRDDM_1_port, BIT_POSN_1_port, ALUC_15_port, PCADD_7_port, RETI, PORTC_2_port, STACK_DATA_12_port, TCON_3_port, IMMB3, SFRW_15_port, SFRDAT_7_port, OPC_6_port, SFRW_4_port, OAI_0_port, FAb_7_port, IMMDAT_4_port, SBUF_1_port, PROGRAM_COUNT_10_port, PROGRAM_COUNT_7_port, EXT_PROG_EN, SFRW_18_port, PSWDAT_0_port, TLB_7_port, TLA_4_port, IP_5_port, ALUC_5_port, PCADD_3_port, SFRW_11_port, STATD_6_port, SFRDAT_3_port, Mb_14_port, THB_5_port, THA_6_port, OAI_4_port, FAb_3_port, MOVX_1_port, TCON_7_port, PORTC_6_port, ALUC_7_port, CODAT_2_port, DPL_3_port, DLMSTB, CO, CODAT_0_port, DPL_1_port, SFRDAT_1_port, OAI_6_port, FAb_1_port, ALUC_17_port, PCADD_8_port, PCADD_1_port, SFRW_20_port, MOVX_3_port, SFRW_13_port, STACK_DATA_14_port, TCON_5_port, PORTC_4_port, PSWDAT_2_port, TLB_5_port, TLA_6_port, IP_7_port, ALUC_3_port, PCADD_5_port, OPC_4_port, SFRW_6_port, STATD_4_port, PCONx2x, THA_4_port, THB_7_port, IMMDAT_6_port, CYC_3_port, SBUF_3_port, PROGRAM_COUNT_12_port , PROGRAM_COUNT_5_port, SFRW_17_port, STACK_DATA_10_port, TCON_1_port, PORTC_0_port, PROGRAM_COUNT_8_port, SFRDAT_5_port, OAI_2_port, FAb_5_port , DPL_5_port, ALUC_13_port, OPC_0_port, IACK_2_port, RITI, DLMSTBI, SFRW_2_port, IMMDAT_2_port, VECTOR_ADDR_1_port, PROGRAM_COUNT_1_port, PCONx6x, THB_3_port, SBUF_7_port, THA_0_port, Mb_12_port, PSWDAT_6_port, TLB_1_port, TLA_2_port, IP_3_port, n4030, n4031, NALENb, n4033, n4034, n4035, n4036, n4037, n4038, n4039, n4040, n4041, n4042, n4043, n4044, n4045, n4046, n4047, n4048, n4049, n4050, n4051, n4052, n4053, n4054, n4055, n4056, n4057, n4058, n4059, n4060, n4061, n4062, n4063, n4064, n4065, n4066, n4067, n4068, n4069, n4070, n4071, n4072, n4073, n4074,
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -