⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 hier_setup.scr

📁 another 8051 core porocesssor vhdl source code
💻 SCR
字号:
/* m8051 /verilog/synth/synop/hier_setup.scr                              *//* Copyright Mentor Graphics Corporation and Licensors 1999.                  *//* V2.000                                                                     *//******************************************************************************//* File         :       %M%                                                   *//* Created on   :       /* Purpose      :       This Synopsys dc_shell script constrains the M8051    *//* Version      :       2.000                                                 *//* Mod Date     :       %G%                                                   *//* Mod History  :       Time constants in units of nanoseconds                *//*              :       Cell references are for UMC 0.18um library            *//*                                                                            *//******************************************************************************/current_design "m8051"/******************************************************************************//*   Specify the Operating Conditions and set up the Soft Core's environment  *//******************************************************************************//* set wire load model */set_wire_load -library umc18_wireload SMALL/* Set input port driver strength with the exception of the clock inputs      *//* which are unlimited for trial synthesis. */set_drive drive_of(umc18_slow/BUF_1/Z) all_inputs()/* set output loading */port_load = 10 * (load_of(umc18_slow/BUF_1/A))set_load port_load all_outputs()set_max_transition 2.5 find(design)/******************************************************************************//*  Set the timing constraints                                                *//*  For the UMC 0.18um library all time constants are in units of NANOSECONDS *//******************************************************************************//* Specify the input clock period (ns) */CLK_PERIOD = 20           /* 50 MHz */HALF_CLOCK = 10/* NB: Download mode uses combinatorial paths from inputs to outputs.         *//* To enable synthesis to work at all, DATA_IN_DLY and DATA_OUT_DLY need to   *//* be selected to allow some propagation delay from inputs to outputs,        *//* i.e. the inequality to be satisfied is:                                    *//* DATA_IN_DLY + DATA_OUT_DLY < CLK_PERIOD                                    */DATA_IN_DLY = CLK_PERIOD * 0.75DATA_OUT_DLY =  CLK_PERIOD * 0.75/* RAM_ADD_DLY = 10 *//* RAM_DATA_IN_DLY = 10 */RAM_DATA_OUT_DLY = CLK_PERIOD * 0.25/* Propagation delay for combinatorial paths from input to output is a        *//* quarter of a clock period                                                  */IN_OUT_PROPN_DLY = DATA_IN_DLY + DATA_OUT_DLY + (CLK_PERIOD * 0.25)/*                *//*Set clock period*//*                */create_clock NX1 -period CLK_PERIOD -waveform {0,HALF_CLOCK}create_clock NX2 -period CLK_PERIOD -waveform {0,HALF_CLOCK}set_clock_skew -ideal NX1set_clock_skew -ideal NX2/* Assume adequate external drive on clock */set_drive 0 NX1set_drive 0 NX2dont_touch_network NX1dont_touch_network NX2/*                     *//* Set input delays    *//* (of external logic) *//* Misc inputs */set_input_delay -clock NX1  DATA_IN_DLY RSTset_input_delay -clock NX1  DATA_IN_DLY ALEIset_input_delay -clock NX1  DATA_IN_DLY PSEIset_input_delay -clock NX1  DATA_IN_DLY NEAset_input_delay -clock NX1  DATA_IN_DLY NESFR/* I/O Ports */set_input_delay -clock NX1  DATA_IN_DLY AIset_input_delay -clock NX1  DATA_IN_DLY BIset_input_delay -clock NX1  DATA_IN_DLY CIset_input_delay -clock NX1  DATA_IN_DLY DI/* Program memory */set_input_delay -clock NX1  DATA_IN_DLY MD/* Register file */set_input_delay -clock NX1  DATA_IN_DLY FI/*                           *//* Set output delaysstraints *//* (of external logic)       *//* I/O Ports */set_output_delay -clock NX1 DATA_OUT_DLY OAset_output_delay -clock NX1 DATA_OUT_DLY OBset_output_delay -clock NX1 DATA_OUT_DLY OCset_output_delay -clock NX1 DATA_OUT_DLY ODset_output_delay -clock NX1 DATA_OUT_DLY AEset_output_delay -clock NX1 DATA_OUT_DLY BEset_output_delay -clock NX1 DATA_OUT_DLY CEset_output_delay -clock NX1 DATA_OUT_DLY DE/* Register file address */set_output_delay -clock NX1 DATA_OUT_DLY FA/* Register file */set_output_delay -clock NX1 RAM_DATA_OUT_DLY FO/* Program address */set_output_delay -clock NX1 DATA_OUT_DLY M/* Misc control */set_output_delay -clock NX1 DATA_OUT_DLY NMOEset_output_delay -clock NX1 DATA_OUT_DLY NMWEset_output_delay -clock NX1 DATA_OUT_DLY DLMset_output_delay -clock NX1 DATA_OUT_DLY ALEset_output_delay -clock NX1 DATA_OUT_DLY NPSENset_output_delay -clock NX1 DATA_OUT_DLY NALENset_output_delay -clock NX1 DATA_OUT_DLY NFWEset_output_delay -clock NX1 DATA_OUT_DLY NFOEset_output_delay -clock NX1 DATA_OUT_DLY NSFRWEset_output_delay -clock NX1 DATA_OUT_DLY NSFROEset_output_delay -clock NX1 DATA_OUT_DLY XOFFset_output_delay -clock NX1 DATA_OUT_DLY IDLE/* Set default delay for asynchronous paths */set_max_delay IN_OUT_PROPN_DLY -from all_inputs() -to all_outputs()

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -